5 use ieee.std_logic_1164.all;
7 architecture behav of tb_simple2 is
8 signal addr : std_logic_vector(7 downto 0);
9 signal rdat : std_logic_vector(15 downto 0);
10 signal wdat : std_logic_vector(15 downto 0);
11 signal wren : std_logic;
12 signal rden : std_logic;
13 signal clk : std_logic;
15 dut: entity work.simple2
16 port map (clk_i => clk, rden_i => rden, wren_i => wren,
17 addr_i => addr, data_i => wdat, data_o => rdat);
43 assert rdat = x"0001" severity failure;
45 -- Check write through.
50 assert rdat = x"3333" severity failure;