2 use IEEE.std_logic_1164.all;
3 use IEEE.numeric_std.all;
9 ADD_FF: in unsigned(1 downto 0);
13 EN_signal: out std_logic
17 architecture test_a of test is
18 signal Q_FF: std_logic_vector(0 to 1);
24 elsif clk_FF'event and clk_FF = '1' then
26 Q_FF(to_integer(ADD_FF)) <= D_FF;