verilog: add sv_maps iterators
[ghdl-vlg.git] / doc / ghdl.texi
blob39d2fad6d47293b8b112265afda4358b8062ff5a
1 \input texinfo   @c -*-texinfo-*-
2 @c %**start of header
3 @setfilename GHDL.info
4 @documentencoding UTF-8
5 @ifinfo
6 @*Generated by Sphinx 4.4.0.@*
7 @end ifinfo
8 @settitle GHDL Documentation
9 @defindex ge
10 @paragraphindent 0
11 @exampleindent 4
12 @finalout
13 @dircategory Miscellaneous
14 @direntry
15 * GHDL: (GHDL.info). VHDL simulator.
16 @end direntry
18 @definfoenclose strong,`,'
19 @definfoenclose emph,`,'
20 @c %**end of header
22 @copying
23 @quotation
24 GHDL 2.0.0, Feb 28, 2022
26 Tristan Gingold and contributors
28 Copyright @copyright{} 2002-2021, Tristan Gingold and contributors
29 @end quotation
31 @end copying
33 @titlepage
34 @title GHDL Documentation
35 @insertcopying
36 @end titlepage
37 @contents
39 @c %** start of user preamble
41 @c %** end of user preamble
43 @ifnottex
44 @node Top
45 @top GHDL Documentation
46 @insertcopying
47 @end ifnottex
49 @c %**start of body
50 @anchor{index doc}@anchor{41}
51 @c # Load pre-defined aliases and graphical characters like © from docutils
52 @c # <file> is used to denote the special path
53 @c # <Python>\Lib\site-packages\docutils\parsers\rst\include
55 @c This data file has been placed in the public domain.
57 @c Derived from the Unicode character mappings available from
58 @c <http://www.w3.org/2003/entities/xml/>.
59 @c Processed by unicode2rstsubs.py, part of Docutils:
60 @c <http://docutils.sourceforge.net>.
62 @c This data file has been placed in the public domain.
64 @c Derived from the Unicode character mappings available from
65 @c <http://www.w3.org/2003/entities/xml/>.
66 @c Processed by unicode2rstsubs.py, part of Docutils:
67 @c <http://docutils.sourceforge.net>.
69 @c # define a hard line break for HTML
73 @c # Load pre-defined aliases and graphical characters like © from docutils
74 @c # <file> is used to denote the special path
75 @c # <Python>\Lib\site-packages\docutils\parsers\rst\include
77 @c This data file has been placed in the public domain.
79 @c Derived from the Unicode character mappings available from
80 @c <http://www.w3.org/2003/entities/xml/>.
81 @c Processed by unicode2rstsubs.py, part of Docutils:
82 @c <http://docutils.sourceforge.net>.
84 @c This data file has been placed in the public domain.
86 @c Derived from the Unicode character mappings available from
87 @c <http://www.w3.org/2003/entities/xml/>.
88 @c Processed by unicode2rstsubs.py, part of Docutils:
89 @c <http://docutils.sourceforge.net>.
91 @c # define a hard line break for HTML
94 @menu
95 * About:: 
96 * Getting | Installing:: 
97 * Contributing:: 
98 * Copyrights | Licenses:: 
99 * Quick Start Guide:: 
100 * Invoking GHDL:: 
101 * Simulation (runtime): Simulation runtime. 
102 * Synthesis:: 
103 * Additional Command Reference:: 
104 * Implementation of VHDL:: 
105 * Implementation of VITAL:: 
106 * Directory structure:: 
107 * Building GHDL from Sources:: 
108 * pyGHDL:: 
109 * GHDL Waveform (GHW): GHDL Waveform GHW. 
110 * Debugging:: 
111 * Coding Style:: 
112 * Scripts:: 
113 * Overview:: 
114 * Front-end:: 
115 * AST:: 
116 * Run Time Information (RTI): Run Time Information RTI. 
117 * GNATdoc:: 
118 * Index: Index<2>. 
119 * Python Module Index: Python Module Index<2>. 
120 * Python Module Index:: 
121 * Index:: 
123 @detailmenu
124  --- The Detailed Node Listing ---
126 About
128 * What is VHDL?:: 
129 * What is GHDL?:: 
130 * Who uses GHDL?:: 
132 Getting | Installing
134 * Package managers:: 
135 * Nightly packages:: 
136 * Precompile Vendor Primitives:: 
138 Precompile Vendor Primitives
140 * Supported Vendors Libraries:: 
141 * Supported Simulation and Verification Libraries:: 
142 * Script Configuration:: 
143 * Compiling in Bash:: 
144 * Compiling in PowerShell:: 
145 * Configuration Files:: 
146 * Additional Script Parameters:: 
148 Configuration Files
150 * For Bash; config.sh: For Bash config sh. 
151 * For PowerShell; config.psm1: For PowerShell config psm1. 
153 Additional Script Parameters
155 * For Bash Scripts;: For Bash Scripts. 
156 * For PowerShell Scripts;: For PowerShell Scripts. 
158 Contributing
160 * Reporting bugs:: 
161 * Requesting enhancements:: 
162 * Improving the documentation:: 
163 * Fork@comma{} modify and pull-request: Fork modify and pull-request. 
164 * Related interesting projects:: 
166 Copyrights | Licenses
168 * GNU GPLv2:: 
169 * CC-BY-SA:: 
170 * List of Contributors:: 
172 Quick Start Guide
174 * Simulation:: 
175 * Python Interfaces:: 
177 Simulation
179 * Hello world program:: 
180 * Heartbeat module:: 
181 * Full adder module and testbench:: 
182 * Working with non-trivial designs:: 
184 Python Interfaces
186 * Language Server:: 
188 Language Server
190 * ghdl-ls:: 
192 ghdl-ls
194 * optional arguments:: 
196 Invoking GHDL
198 * Design building commands:: 
199 * Design rebuilding commands:: 
200 * Options:: 
201 * Warnings:: 
202 * Diagnostics Control:: 
203 * Library commands:: 
204 * VPI/VHPI build commands:: 
205 * IEEE library pitfalls:: 
207 Design building commands
209 * Analysis [-a]:: 
210 * Elaboration [-e]:: 
211 * Run [-r]:: 
212 * Elaborate and run [--elab-run]:: 
213 * Check syntax [-s]:: 
214 * Analyze and elaborate [-c]:: 
216 Design rebuilding commands
218 * Import [-i]:: 
219 * Elab-order [--elab-order]:: 
220 * Make [-m]:: 
221 * Generate Makefile [--gen-makefile]:: 
222 * Generate dependency file command [--gen-depends]:: 
224 Simulation (runtime)
226 * Simulation options:: 
227 * Export waveforms:: 
228 * Export hierarchy and references:: 
230 Synthesis
232 * Synthesis [--synth]:: 
233 * Synthesis options:: 
234 * Yosys plugin:: 
236 Synthesis options
238 * Assertions@comma{} PSL and formal verification: Assertions PSL and formal verification. 
240 Yosys plugin
242 * Convert (V)HDL to other formats: Convert V HDL to other formats. 
244 Convert (V)HDL to other formats
246 * To Verilog:: 
247 * To EDIF:: 
248 * To SMT:: 
249 * To BTOR2:: 
250 * To FIRRTL:: 
251 * To VHDL:: 
253 Additional Command Reference
255 * Environment variables:: 
256 * Misc commands:: 
257 * File commands:: 
258 * GCC/LLVM only commands:: 
259 * Options: Options<2>. 
260 * Passing options to other programs:: 
262 Misc commands
264 * Help [-h]:: 
265 * Display config [--disp-config]:: 
266 * Display standard [--disp-standard]:: 
267 * Version [--version]:: 
269 File commands
271 * Format [fmt]:: 
272 * Pretty print [--pp-html]:: 
273 * Find [-f]:: 
274 * Chop [--chop]:: 
275 * Lines [--lines]:: 
276 * XML tree generation [--file-to-xml]:: 
278 GCC/LLVM only commands
280 * Bind [--bind]:: 
281 * Link [--link]:: 
282 * List link [--list-link]:: 
284 Implementation of VHDL
286 * VHDL standards:: 
287 * PSL support:: 
288 * Source representation:: 
289 * Library database:: 
290 * Top entity:: 
291 * Using vendor libraries:: 
293 PSL support
295 * PSL implementation:: 
296 * PSL usage:: 
298 PSL usage
300 * PSL annotations embedded in comments:: 
301 * PSL annotations (VHDL-2008 only): PSL annotations VHDL-2008 only. 
302 * PSL vunit files (VHDL-2008 / Synthesis only): PSL vunit files VHDL-2008 / Synthesis only. 
304 Implementation of VITAL
306 * VITAL packages:: 
307 * VHDL restrictions for VITAL:: 
308 * Backannotation:: 
309 * Negative constraint calculation:: 
311 Building GHDL from Sources
313 * Sources:: 
314 * mcode backend:: 
315 * LLVM backend:: 
316 * GCC backend:: 
317 * TL;DR:: 
319 mcode backend
321 * GCC/GNAT; GNU/Linux or Windows (MinGW/MSYS2): GCC/GNAT GNU/Linux or Windows MinGW/MSYS2. 
322 * GNAT GPL; Windows: GNAT GPL Windows. 
324 LLVM backend
326 * GCC/GNAT; GNU/Linux or Windows (MinGW/MSYS2): GCC/GNAT GNU/Linux or Windows MinGW/MSYS2<2>. 
328 pyGHDL
330 * pyGHDL.cli: pyGHDL cli. 
331 * pyGHDL.dom: pyGHDL dom. 
332 * pyGHDL.libghdl: pyGHDL libghdl. 
333 * pyGHDL.lsp: pyGHDL lsp. 
335 pyGHDL.cli
337 * pyGHDL.cli.dom: pyGHDL cli dom. 
338 * pyGHDL.cli.lsp: pyGHDL cli lsp. 
340 pyGHDL.dom
342 * pyGHDL.dom.Aggregates: pyGHDL dom Aggregates. 
343 * pyGHDL.dom.Attribute: pyGHDL dom Attribute. 
344 * pyGHDL.dom.Concurrent: pyGHDL dom Concurrent. 
345 * pyGHDL.dom.DesignUnit: pyGHDL dom DesignUnit. 
346 * pyGHDL.dom.Expression: pyGHDL dom Expression. 
347 * pyGHDL.dom.InterfaceItem: pyGHDL dom InterfaceItem. 
348 * pyGHDL.dom.Literal: pyGHDL dom Literal. 
349 * pyGHDL.dom.Misc: pyGHDL dom Misc. 
350 * pyGHDL.dom.Names: pyGHDL dom Names. 
351 * pyGHDL.dom.NonStandard: pyGHDL dom NonStandard. 
352 * pyGHDL.dom.Object: pyGHDL dom Object. 
353 * pyGHDL.dom.PSL: pyGHDL dom PSL. 
354 * pyGHDL.dom.Range: pyGHDL dom Range. 
355 * pyGHDL.dom.Sequential: pyGHDL dom Sequential. 
356 * pyGHDL.dom.Subprogram: pyGHDL dom Subprogram. 
357 * pyGHDL.dom.Symbol: pyGHDL dom Symbol. 
358 * pyGHDL.dom.Type: pyGHDL dom Type. 
359 * pyGHDL.dom._Translate: pyGHDL dom _Translate. 
360 * pyGHDL.dom._Utils: pyGHDL dom _Utils. 
361 * pyGHDL.dom.formatting: pyGHDL dom formatting. 
363 pyGHDL.dom.formatting
365 * pyGHDL.dom.formatting.prettyprint: pyGHDL dom formatting prettyprint. 
367 pyGHDL.libghdl
369 * pyGHDL.libghdl._decorator: pyGHDL libghdl _decorator. 
370 * pyGHDL.libghdl._types: pyGHDL libghdl _types. 
371 * pyGHDL.libghdl.errorout: pyGHDL libghdl errorout. 
372 * pyGHDL.libghdl.errorout_console: pyGHDL libghdl errorout_console. 
373 * pyGHDL.libghdl.errorout_memory: pyGHDL libghdl errorout_memory. 
374 * pyGHDL.libghdl.files_map: pyGHDL libghdl files_map. 
375 * pyGHDL.libghdl.files_map_editor: pyGHDL libghdl files_map_editor. 
376 * pyGHDL.libghdl.flags: pyGHDL libghdl flags. 
377 * pyGHDL.libghdl.libraries: pyGHDL libghdl libraries. 
378 * pyGHDL.libghdl.name_table: pyGHDL libghdl name_table. 
379 * pyGHDL.libghdl.std_names: pyGHDL libghdl std_names. 
380 * pyGHDL.libghdl.str_table: pyGHDL libghdl str_table. 
381 * pyGHDL.libghdl.utils: pyGHDL libghdl utils. 
382 * pyGHDL.libghdl.vhdl: pyGHDL libghdl vhdl. 
384 pyGHDL.libghdl.vhdl
386 * pyGHDL.libghdl.vhdl.canon: pyGHDL libghdl vhdl canon. 
387 * pyGHDL.libghdl.vhdl.elocations: pyGHDL libghdl vhdl elocations. 
388 * pyGHDL.libghdl.vhdl.flists: pyGHDL libghdl vhdl flists. 
389 * pyGHDL.libghdl.vhdl.formatters: pyGHDL libghdl vhdl formatters. 
390 * pyGHDL.libghdl.vhdl.ieee: pyGHDL libghdl vhdl ieee. 
391 * pyGHDL.libghdl.vhdl.lists: pyGHDL libghdl vhdl lists. 
392 * pyGHDL.libghdl.vhdl.nodes: pyGHDL libghdl vhdl nodes. 
393 * pyGHDL.libghdl.vhdl.nodes_meta: pyGHDL libghdl vhdl nodes_meta. 
394 * pyGHDL.libghdl.vhdl.nodes_utils: pyGHDL libghdl vhdl nodes_utils. 
395 * pyGHDL.libghdl.vhdl.parse: pyGHDL libghdl vhdl parse. 
396 * pyGHDL.libghdl.vhdl.sem: pyGHDL libghdl vhdl sem. 
397 * pyGHDL.libghdl.vhdl.sem_lib: pyGHDL libghdl vhdl sem_lib. 
398 * pyGHDL.libghdl.vhdl.std_package: pyGHDL libghdl vhdl std_package. 
399 * pyGHDL.libghdl.vhdl.tokens: pyGHDL libghdl vhdl tokens. 
400 * pyGHDL.libghdl.vhdl.utils: pyGHDL libghdl vhdl utils. 
402 pyGHDL.lsp
404 * pyGHDL.lsp.document: pyGHDL lsp document. 
405 * pyGHDL.lsp.lsp: pyGHDL lsp lsp. 
406 * pyGHDL.lsp.lsptools: pyGHDL lsp lsptools. 
407 * pyGHDL.lsp.references: pyGHDL lsp references. 
408 * pyGHDL.lsp.symbols: pyGHDL lsp symbols. 
409 * pyGHDL.lsp.version: pyGHDL lsp version. 
410 * pyGHDL.lsp.vhdl_ls: pyGHDL lsp vhdl_ls. 
411 * pyGHDL.lsp.workspace: pyGHDL lsp workspace. 
413 GHDL Waveform (GHW)
415 * libghw:: 
416 * ghwdump:: 
418 Debugging
420 * Simulation and runtime debugging options:: 
422 Simulation and runtime debugging options
424 * GNU Debugger (GDB): GNU Debugger GDB. 
426 Coding Style
428 * Ada:: 
429 * Shell:: 
430 * Guidelines to edit the documentation:: 
431 * Documentation configuration:: 
433 Guidelines to edit the documentation
435 * Guidelines to edit section ‘Building’:: 
437 Scripts
439 * pnodes:: 
440 * pnodespy:: 
442 pnodes
444 * positional arguments:: 
445 * optional arguments: optional arguments<2>. 
447 pnodespy
449 * positional arguments: positional arguments<2>. 
450 * optional arguments: optional arguments<3>. 
454 * Introduction:: 
455 * The AST in GHDL:: 
456 * Why a meta-model ?:: 
457 * Dealing with ownership:: 
458 * Node Type:: 
460 Run Time Information (RTI)
462 * Useful Definitions:: 
463 * RTI Nodes / RTII Nodes:: 
465 RTI Nodes / RTII Nodes
467 * Architecture RTI (Ghdl_Rtin_Block): Architecture RTI Ghdl_Rtin_Block. 
468 * Entity RTI (Ghdl_Rtin_Block): Entity RTI Ghdl_Rtin_Block. 
469 * Other Blocks (Package/Process) (Ghdl_Rtin_Block): Other Blocks Package/Process Ghdl_Rtin_Block. 
470 * if_generate / case_generate (Ghdl_Rtin_Block): if_generate / case_generate Ghdl_Rtin_Block. 
471 * for_generate (Ghdl_Rtin_Generate): for_generate Ghdl_Rtin_Generate. 
472 * instance (Ghdl_Rtin_Instance): instance Ghdl_Rtin_Instance. 
473 * Port (Ghdl_Rtin_Object): Port Ghdl_Rtin_Object. 
474 * Array Kinds:: 
475 * Object_To_Base_Bound:: 
476 * Array_Type (Ghdl_Rtin_Type_Array): Array_Type Ghdl_Rtin_Type_Array. 
477 * Array Subtype (Ghdl_Rtin_Subtype_Array): Array Subtype Ghdl_Rtin_Subtype_Array. 
478 * Port / Signal / Generic / Constant / Variable (Ghdl_Rtin_Object): Port / Signal / Generic / Constant / Variable Ghdl_Rtin_Object. 
479 * Record Kinds:: 
480 * Record Type (Ghdl_Rtin_Type_Record): Record Type Ghdl_Rtin_Type_Record. 
481 * Record Type (Ghdl_Rtin_Type_Record): Record Type Ghdl_Rtin_Type_Record<2>. 
482 * Element Type (Ghdl_Rtin_Element): Element Type Ghdl_Rtin_Element. 
483 * Examples:: 
485 @end detailmenu
486 @end menu
488 @node About,Getting | Installing,Top,Top
489 @anchor{about doc}@anchor{42}@anchor{about about}@anchor{43}@anchor{about ghdl}@anchor{44}
490 @chapter About
493 @menu
494 * What is VHDL?:: 
495 * What is GHDL?:: 
496 * Who uses GHDL?:: 
498 @end menu
500 @node What is VHDL?,What is GHDL?,,About
501 @anchor{about intro-vhdl}@anchor{45}@anchor{about what-is-vhdl}@anchor{46}
502 @section What is @cite{VHDL}?
505 VHDL@footnote{https://en.wikipedia.org/wiki/VHDL} is an acronym for @emph{Verification and Hardware Description Language} (HDL@footnote{https://en.wikipedia.org/wiki/HDL}),
506 which is a computer language used to describe a logic circuit by function, data flow behavior, or structure.
508 @cartouche
509 @quotation Note 
510 Historically the ‘V’ in the language’s acronym came from the Very High Speed Integrated Circuit (VHSIC@footnote{https://en.wikipedia.org/wiki/VHSIC}),
511 which was the U.S. government program that funded the early work on the standard.
512 Changing the ‘V’ to mean @emph{verification} reflects the language’s increasing usage for verification as well as design.
513 See VHDL 202X PAR@footnote{http://www.eda-twiki.org/twiki/pub/P1076/PrivateDocuments/Par1076_202X.pdf} via VHDL-202X@footnote{https://ieee-p1076.gitlab.io/VHDL-202X/index.html#vhdl202x}.
514 @end quotation
515 @end cartouche
517 Although VHDL was not designed for writing general purpose programs, VHDL @emph{is} a programming language: any algorithm can
518 be written with it, it can interact with files, the environment, stdin and stdout, etc.
519 VHDL has features similar to those found in procedural languages such as
520 C@footnote{https://en.wikipedia.org/wiki/C_(programming_language)},
521 Python@footnote{https://en.wikipedia.org/wiki/Python_(programming_language)},
522 or Ada@footnote{https://en.wikipedia.org/wiki/Ada_(programming_language)}.
523 Indeed, VHDL derives most of its syntax and semantics from Ada. Knowing @cite{Ada} is an advantage for learning VHDL (it is
524 an advantage in general as well).
526 However, VHDL was not designed as a general purpose language but as an @cite{HDL}.
527 As the name implies, VHDL aims at modeling or documenting electronics systems.
528 Due to the nature of hardware components –which are always running–, VHDL is a highly concurrent language, built upon
529 an event-driven execution model.
531 Like a program written in any other language, a VHDL program can be executed.
532 Since VHDL is used to model designs, the term @emph{simulation} is often used instead of @cite{execution}, with the same
533 meaning.
534 At the same time, like a design written in another @cite{HDL}, a set of VHDL sources can be transformed with a
535 @emph{synthesis tool} into a netlist, that is, a detailed gate-level implementation.
537 The development of VHDL started in 1983 and the standard is named IEEE@footnote{https://www.ieee.org/} @cite{1076}.
538 Five revisions exist:
539 1987@footnote{http://ieeexplore.ieee.org/document/26487/},
540 1993@footnote{http://ieeexplore.ieee.org/document/392561/},
541 2002@footnote{http://ieeexplore.ieee.org/document/1003477/},
542 2008@footnote{http://ieeexplore.ieee.org/document/4772740/} and
543 2019@footnote{https://ieeexplore.ieee.org/document/8938196}.
544 The standardization is handled by the IEEE P1076 Working Group: VHDL Analysis and Standardization Group (VASG)@footnote{https://ieee-p1076.gitlab.io/index.html}.
545 Find on-going work towards @emph{VHDL 202X} in gitlab.com/IEEE-P1076/VHDL-Issues@footnote{https://gitlab.com/IEEE-P1076/VHDL-Issues/-/issues}.
547 @node What is GHDL?,Who uses GHDL?,What is VHDL?,About
548 @anchor{about intro-ghdl}@anchor{47}@anchor{about what-is-ghdl}@anchor{48}
549 @section What is GHDL?
553 @float Figure
555 @image{GHDL-figures/internals,418.0pt,,,png}
557 @caption{Architecture overview of the GHDL toolkit (CLI, libghdl, pyGHDL and ghdl-yosys-plugin).}
559 @end float
562 @cite{GHDL} is a shorthand for @cite{G Hardware Design Language} (currently, @cite{G} has no meaning).
563 It is a VHDL analyzer, compiler, simulator and (experimental) synthesizer that can process (nearly) any VHDL design.
565 @cartouche
566 @quotation Note 
567 For almost 20 years, GHDL was @emph{not} a synthesis tool: a netlist could not be created.
568 Hence, most of the content in this documentation corresponds to the usage of GHDL as a compiler/simulator.
569 See @ref{49,,Synthesis} for further details regarding synthesis.
570 @end quotation
571 @end cartouche
573 Unlike some other simulators, GHDL is a compiler: it directly translates a VHDL file to machine code, without using an
574 intermediary language such as @cite{C} or @cite{C++}.
575 Therefore, the compiled code should be faster and the analysis time should be shorter than with a compiler using an
576 intermediary language.
578 GHDL can use multiple back-ends, i.e. code generators, (GCC@footnote{http://gcc.gnu.org/}, LLVM@footnote{http://llvm.org/} or
579 x86@footnote{https://en.wikipedia.org/wiki/X86-64}/i386@footnote{https://en.wikipedia.org/wiki/Intel_80386} only, a built-in one named @emph{mcode}) and runs on
580 GNU/Linux@footnote{https://en.wikipedia.org/wiki/Linux_distribution}, Windows@footnote{https://en.wikipedia.org/wiki/Microsoft_Windows} ™ and
581 macOS@footnote{https://en.wikipedia.org/wiki/MacOS} ™; on x86, x86_64, armv6/armv7/aarch32/aarch64, ppc64, etc.
583 The current version of GHDL does not contain any built-in graphical viewer: signal waves cannot be seen through GHDL.
584 However, the behavior of the designs can still be checked through test benches and verification frameworks.
585 Moreover, @ref{4a,,GHDL Waveform (GHW)}, VCD@footnote{https://en.wikipedia.org/wiki/Value_change_dump} or @cite{FST} files can be produced, which can be viewed with an
586 external waveform viewer@footnote{https://en.wikipedia.org/wiki/Waveform_viewer}, such as GtkWave@footnote{http://gtkwave.sourceforge.net/}.
588 GHDL aims at implementing VHDL as defined by IEEE 1076@footnote{http://ieeexplore.ieee.org/document/4772740/}.
589 It supports the
590 1987@footnote{http://ieeexplore.ieee.org/document/26487/},
591 1993@footnote{http://ieeexplore.ieee.org/document/392561/} and
592 2002@footnote{http://ieeexplore.ieee.org/document/1003477/} revisions and, partially,
593 2008@footnote{http://ieeexplore.ieee.org/document/4772740/}.
594 Property Specification Language (PSL)@footnote{https://en.wikipedia.org/wiki/Property_Specification_Language} is also partially supported.
596 Several third party projects are supported:
597 Yosys@footnote{https://github.com/YosysHQ/yosys}
598 (through the ghdl-yosys-plugin@footnote{https://github.com/ghdl/ghdl-yosys-plugin})
599 cocotb@footnote{https://github.com/potentialventures/cocotb},
600 (through the VPI interface@footnote{https://en.wikipedia.org/wiki/Verilog_Procedural_Interface}),
601 VUnit@footnote{https://vunit.github.io/},
602 OSVVM@footnote{http://osvvm.org/},
603 The pyVHDLModel Documentation@footnote{https://vhdl.github.io/pyVHDLModel/index.html},
606 @node Who uses GHDL?,,What is GHDL?,About
607 @anchor{about intro-who}@anchor{4b}@anchor{about who-uses-ghdl}@anchor{4c}
608 @section Who uses GHDL?
612 @c # Load pre-defined aliases and graphical characters like © from docutils
613 @c # <file> is used to denote the special path
614 @c # <Python>\Lib\site-packages\docutils\parsers\rst\include
616 @c This data file has been placed in the public domain.
618 @c Derived from the Unicode character mappings available from
619 @c <http://www.w3.org/2003/entities/xml/>.
620 @c Processed by unicode2rstsubs.py, part of Docutils:
621 @c <http://docutils.sourceforge.net>.
623 @c This data file has been placed in the public domain.
625 @c Derived from the Unicode character mappings available from
626 @c <http://www.w3.org/2003/entities/xml/>.
627 @c Processed by unicode2rstsubs.py, part of Docutils:
628 @c <http://docutils.sourceforge.net>.
630 @c # define a hard line break for HTML
632 @node Getting | Installing,Contributing,About,Top
633 @anchor{getting doc}@anchor{4d}@anchor{getting getting-installing}@anchor{4e}@anchor{getting packages}@anchor{4f}
634 @chapter Getting | Installing
637 @menu
638 * Package managers:: 
639 * Nightly packages:: 
640 * Precompile Vendor Primitives:: 
642 @end menu
644 @node Package managers,Nightly packages,,Getting | Installing
645 @anchor{getting package-managers}@anchor{50}
646 @section Package managers
649 Package managers of many popular distributions provide pre-built packages of GHDL. This is the case for @cite{apt}
650 (Debian/Ubuntu), @cite{dnf} (Fedora), @cite{pacman} (Arch Linux, MSYS2) or @cite{brew} (macOS). Since GHDL supports three different backends
651 and two library sets (@emph{regular} or @emph{GPL-compatible}), at least six packages with different features might be available in
652 each package manager.
654 As a rule of thumb, mcode backend is the fastest for analysis and synthesis. It also allows setting the base simulation time
655 for speeding up execution. Therefore, it is the recommended pick if available on your platform (x86/amd64, on Windows x86
656 only). On other platforms, or for using specific features for co-simulation or code coverage, LLVM or GCC need to be used.
657 See further differences between backends in @ref{51,,Building GHDL from Sources}.
659 @node Nightly packages,Precompile Vendor Primitives,Package managers,Getting | Installing
660 @anchor{getting nightly-packages}@anchor{52}@anchor{getting release-packages}@anchor{53}
661 @section Nightly packages
664 Assets from nightly GHDL builds are available at github.com/ghdl/ghdl/releases/nightly@footnote{https://github.com/ghdl/ghdl/releases/nightly}.
665 These are mostly meant to be used in Continuous Integration (CI) workflows. Precisely, setup-ghdl-ci@footnote{https://github.com/ghdl/setup-ghdl-ci}
666 allows to easily setup nightly assets in GitHub Actions workflows.
668 However, users on Windows (MSYS2) or Ubuntu might want to download the tarballs/zipfiles and extract/install them locally.
670 @node Precompile Vendor Primitives,,Nightly packages,Getting | Installing
671 @anchor{getting getting-precompvendor}@anchor{54}@anchor{getting precompile-vendor-primitives}@anchor{55}
672 @section Precompile Vendor Primitives
675 Vendors like Lattice, Intel (Altera) and Xilinx have their own simulation libraries,
676 especially for FPGA primitives, soft and hard macros. These libraries cannot
677 be shipped with GHDL, but GHDL offers prepared compile scripts to pre-compile
678 these vendor libraries, if the vendor tool is present in the environment. There
679 are also popular simulation and verification libraries like OSVVM @footnote{
680 OSVVM @indicateurl{http://github.com/OSVVM/OSVVM}
681 } or
682 UVVM @footnote{
683 UVVM @indicateurl{https://github.com/UVVM/UVVM_All}
684 }, which can be pre-compiled, too.
686 The compilation scripts are writen in the shell languages: @emph{PowerShell} for
687 @emph{Windows™} and @emph{Bash} for @emph{GNU/Linux}, @emph{MacOS} and @emph{MSYS2}/@emph{MinGW}. The
688 compile scripts can colorize the GHDL warning and error lines with the help
689 of @code{grc/grcat} @footnote{
690 Generic Colourizer @indicateurl{http://kassiopeia.juls.savba.sk/~garabik/software/grc.html}
693 @cartouche
694 @quotation Hint 
695 Vendor precompile scripts for OSVVM and UVVM are tested periodically in ghdl/extended-tests@footnote{https://github.com/ghdl/extended-tests}.
696 @end quotation
697 @end cartouche
699 @menu
700 * Supported Vendors Libraries:: 
701 * Supported Simulation and Verification Libraries:: 
702 * Script Configuration:: 
703 * Compiling in Bash:: 
704 * Compiling in PowerShell:: 
705 * Configuration Files:: 
706 * Additional Script Parameters:: 
708 @end menu
710 @node Supported Vendors Libraries,Supported Simulation and Verification Libraries,,Precompile Vendor Primitives
711 @anchor{getting supported-vendors-libraries}@anchor{56}
712 @subsection Supported Vendors Libraries
716 @itemize *
718 @item 
719 Lattice (3.6 or later):
722 @itemize *
724 @item 
725 @code{ec}
727 @item 
728 @code{ecp}, @code{ecp2}, @code{ecp3}, @code{ecp5u}
730 @item 
731 @code{lptm}, @code{lptm2}
733 @item 
734 @code{machxo}, @code{machxo2}, @code{machxo3l}, @code{machxo3d}
736 @item 
737 @code{sc}, @code{scm}
739 @item 
740 @code{xp}, @code{xp2}
742 @item 
744 @end itemize
746 @item 
747 Intel (Altera) Quartus (13.0 or later):
750 @itemize *
752 @item 
753 @code{lpm}, @code{sgate}
755 @item 
756 @code{altera}, @code{altera_mf}, @code{altera_lnsim}
758 @item 
759 @code{arriaii}, @code{arriaii_pcie_hip}, @code{arriaiigz}
761 @item 
762 @code{arriav}, @code{arriavgz}, @code{arriavgz_pcie_hip}
764 @item 
765 @code{cycloneiv}, @code{cycloneiv_pcie_hip}, @code{cycloneive}
767 @item 
768 @code{cyclonev}
770 @item 
771 @code{max}, @code{maxii}, @code{maxv}
773 @item 
774 @code{stratixiv}, @code{stratixiv_pcie_hip}
776 @item 
777 @code{stratixv}, @code{stratixv_pcie_hip}
779 @item 
780 @code{fiftyfivenm}, @code{twentynm}
782 @item 
784 @end itemize
786 @item 
787 Xilinx ISE (14.0 or later):
790 @itemize *
792 @item 
793 @code{unisim} (incl. @code{secureip})
795 @item 
796 @code{unimacro}
798 @item 
799 @code{simprim} (incl. @code{secureip})
801 @item 
802 @code{xilinxcorelib}
803 @end itemize
805 @item 
806 Xilinx Vivado (2014.1 or later):
809 @itemize *
811 @item 
812 @code{unisim} (incl. @code{secureip})
814 @item 
815 @code{unimacro}
816 @end itemize
817 @end itemize
819 @node Supported Simulation and Verification Libraries,Script Configuration,Supported Vendors Libraries,Precompile Vendor Primitives
820 @anchor{getting supported-simulation-and-verification-libraries}@anchor{57}
821 @subsection Supported Simulation and Verification Libraries
825 @itemize *
827 @item 
828 OSVVM @footnote{
829 OSVVM @indicateurl{http://github.com/OSVVM/OSVVM}
830 } (for VHDL-2008)
832 @item 
833 UVVM @footnote{
834 UVVM @indicateurl{https://github.com/UVVM/UVVM_All}
835 } (for VHDL-2008)
836 @end itemize
839 __________________________________________________________________
842 @node Script Configuration,Compiling in Bash,Supported Simulation and Verification Libraries,Precompile Vendor Primitives
843 @anchor{getting script-configuration}@anchor{58}
844 @subsection Script Configuration
847 The vendor library compile scripts need to know where the used / latest vendor
848 tool chain is installed. Therefore, the scripts implement a default installation
849 directory search as well as environment variable checks. If a vendor tool cannot
850 be detected or the script chooses the wrong vendor library source directory,
851 then it’s possible to provide the path via @code{--source} (Bash) or @code{-Source}
852 (PoSh).
854 The generated output is stored relative to the current working directory. The
855 scripts create a sub-directory for each vendor. The default output directory can
856 be overwritten by the parameter @code{--output} (Bash) or @code{-Output} (PoSh).
858 To compile all source files with GHDL, the simulator executable is searched in
859 @code{PATH}. The found default GHDL executable can be overwritten by setting the
860 environment variable @code{GHDL} or by passing the parameter @code{--ghdl} (Bash) or
861 @code{-GHDL} (PoSh) to the scripts.
863 If the vendor library compilation is used very often, it’s recommend to configure
864 these parameters in @code{config.sh} (Bash) or @code{config.psm1} (PoSh), so the command
865 line can be shortened to the essential parts.
868 __________________________________________________________________
871 @node Compiling in Bash,Compiling in PowerShell,Script Configuration,Precompile Vendor Primitives
872 @anchor{getting compiling-in-bash}@anchor{59}
873 @subsection Compiling in Bash
876 The provided Bash scripts support these environments:
879 @itemize *
881 @item 
882 Linux
884 @item 
885 MacOS
887 @item 
888 MSYS2 / MinGW
890 @item 
891 WSL (Windows Subsystem for Linux)
892 @end itemize
894 Follow these steps:
897 @itemize *
899 @item 
900 @strong{Step 0 - Configure the scripts (optional)}
902 See the next section for how to configure @code{config.sh}.
904 @item 
905 @strong{Step 1 - Browse to your simulation working directory}
907 @example
908 $ cd <MySimulationFolder>
909 @end example
911 @item 
912 @strong{Step 2 - Start the compilation script(s)}
914 Choose one or multiple of the following scripts to run the pre-compilation
915 process.
917 @example
918 $ /usr/local/lib/ghdl/vendors/compile-altera.sh --all
919 $ /usr/local/lib/ghdl/vendors/compile-intel.sh --all
920 $ /usr/local/lib/ghdl/vendors/compile-lattice.sh --all
921 $ /usr/local/lib/ghdl/vendors/compile-osvvm.sh --all
922 $ /usr/local/lib/ghdl/vendors/compile-uvvm.sh --all
923 $ /usr/local/lib/ghdl/vendors/compile-xilinx-ise.sh --all
924 $ /usr/local/lib/ghdl/vendors/compile-xilinx-vivado.sh --all
925 @end example
927 In most cases GHDL is installed into @code{/usr/local/}. The scripts are
928 installed into the @code{lib\ghdl\vendors} directory.
930 @item 
931 @strong{Step 3 - Viewing the result}
933 This creates vendor directories in your current working directory and
934 compiles the vendor files into them.
936 @example
937 $ ls -ahl
939 drwxr-xr-x  2 <user> <group>  56K Mar 09 17:41 altera
940 drwxr-xr-x  2 <user> <group>  56K Mar 09 17:42 intel
941 drwxr-xr-x  2 <user> <group>  56K Mar 09 17:42 lattice
942 drwxr-xr-x  2 <user> <group>  56K Mar 09 17:48 osvvm
943 drwxr-xr-x  2 <user> <group>  56K Mar 09 17:58 uvvm
944 drwxr-xr-x  2 <user> <group>  56K Mar 09 17:58 xilinx-ise
945 drwxr-xr-x  2 <user> <group>  56K Mar 09 17:48 xilinx-vivado
946 @end example
947 @end itemize
950 __________________________________________________________________
953 @node Compiling in PowerShell,Configuration Files,Compiling in Bash,Precompile Vendor Primitives
954 @anchor{getting compiling-in-powershell}@anchor{5a}
955 @subsection Compiling in PowerShell
958 The provided PowerShell scripts support these environments:
961 @itemize *
963 @item 
964 Windows™ 10 (PowerShell 5 and PowerShell 6)
965 @end itemize
967 Follow these steps:
970 @itemize *
972 @item 
973 @strong{Step 0 - Configure the scripts (optional)}
975 See the next section for how to configure @code{config.psm1}.
977 @item 
978 @strong{Step 1 - Browse to your simulation working directory}
980 @example
981 PS> cd <MySimulationFolder>
982 @end example
984 @item 
985 @strong{Step 2 - Start the compilation script(s)}
987 Choose one or multiple of the following scripts to run the pre-compilation
988 process.
990 @example
991 PS> <GHDL>\lib\ghdl\vendors\compile-altera.ps1 -All
992 PS> <GHDL>\lib\ghdl\vendors\compile-intel.ps1 -All
993 PS> <GHDL>\lib\ghdl\vendors\compile-lattice.ps1 -All
994 PS> <GHDL>\lib\ghdl\vendors\compile-osvvm.ps1 -All
995 PS> <GHDL>\lib\ghdl\vendors\compile-uvvm.ps1 -All
996 PS> <GHDL>\lib\ghdl\vendors\compile-xilinx-ise.ps1 -All
997 PS> <GHDL>\lib\ghdl\vendors\compile-xilinx-vivado.ps1 -All
998 @end example
1000 @c # In most cases GHDL is installed into ``/usr/local/``.
1002 The scripts are installed into the @code{lib\ghdl\vendors} directory.
1004 @item 
1005 @strong{Step 3 - Viewing the result}
1007 This creates vendor directories in your current working directory and
1008 compiles the vendor files into them.
1010 @example
1011 PS> dir
1012     Directory: D:\temp\ghdl
1014 Mode           LastWriteTime       Length Name
1015 ----           -------------       ------ ----
1016 d----    09.03.2018    19:33        <DIR> altera
1017 d----    09.03.2018    19:38        <DIR> intel
1018 d----    09.03.2018    19:38        <DIR> lattice
1019 d----    09.03.2018    19:38        <DIR> osvvm
1020 d----    09.03.2018    19:45        <DIR> uvvm
1021 d----    09.03.2018    19:06        <DIR> xilinx-ise
1022 d----    09.03.2018    19:40        <DIR> xilinx-vivado
1023 @end example
1024 @end itemize
1027 __________________________________________________________________
1030 @node Configuration Files,Additional Script Parameters,Compiling in PowerShell,Precompile Vendor Primitives
1031 @anchor{getting configuration-files}@anchor{5b}
1032 @subsection Configuration Files
1035 @menu
1036 * For Bash; config.sh: For Bash config sh. 
1037 * For PowerShell; config.psm1: For PowerShell config psm1. 
1039 @end menu
1041 @node For Bash config sh,For PowerShell config psm1,,Configuration Files
1042 @anchor{getting for-bash-config-sh}@anchor{5c}
1043 @subsubsection For Bash: @cite{config.sh}
1046 Please open the @code{config.sh} file and set the dictionary entries for the
1047 installed vendor tools to your tool’s installation directories. Use an empty
1048 string @code{""} for not installed tools.
1050 @code{config.sh}:
1052 @example
1053 declare -A InstallationDirectory
1054 InstallationDirectory[AlteraQuartus]="/opt/Altera/16.0"
1055 InstallationDirectory[IntelQuartus]="/opt/intelFPGA/20.1"
1056 InstallationDirectory[LatticeDiamond]="/opt/Diamond/3.10_x64"
1057 InstallationDirectory[OSVVM]="/home/<user>/git/GitHub/OSVVM"
1058 InstallationDirectory[UVVM]="/home/<user>/git/GitHub/UVVM"
1059 InstallationDirectory[XilinxISE]="/opt/Xilinx/14.7"
1060 InstallationDirectory[XilinxVivado]="/opt/Xilinx/Vivado/2020.2"
1061 @end example
1063 @node For PowerShell config psm1,,For Bash config sh,Configuration Files
1064 @anchor{getting for-powershell-config-psm1}@anchor{5d}
1065 @subsubsection For PowerShell: @cite{config.psm1}
1068 Please open the @code{config.psm1} file and set the dictionary entries for the
1069 installed vendor tools to your tool’s installation
1070 folder. Use an empty string @code{""} for not installed tools.
1072 @code{config.psm1}:
1074 @example
1075 $InstallationDirectory = @@@{
1076   "AlteraQuartus" =   "C:\Altera\16.0";
1077   "IntelQuartus" =    "C:\Altera\20.1";
1078   "LatticeDiamond" =  "C:\Lattice\Diamond\3.10_x64";
1079   "XilinxISE" =       "C:\Xilinx\14.7\ISE_DS";
1080   "XilinxVivado" =    "C:\Xilinx\Vivado\2020.2";
1081   "OSVVM" =           "C:\git\GitHub\OSVVM";
1082   "UVVM" =            "C:\git\GitHub\UVVM"
1084 @end example
1086 @node Additional Script Parameters,,Configuration Files,Precompile Vendor Primitives
1087 @anchor{getting additional-script-parameters}@anchor{5e}
1088 @subsection Additional Script Parameters
1091 Each script supports partial compilations e.g. of shared packages and
1092 individual parts. In addition, the amount of printout to the console can be
1093 controlled. Some scripts may offer vendor specific options.
1095 @menu
1096 * For Bash Scripts;: For Bash Scripts. 
1097 * For PowerShell Scripts;: For PowerShell Scripts. 
1099 @end menu
1101 @node For Bash Scripts,For PowerShell Scripts,,Additional Script Parameters
1102 @anchor{getting for-bash-scripts}@anchor{5f}
1103 @subsubsection For Bash Scripts:
1107 @itemize *
1109 @item 
1110 Common parameters to most scripts:
1112 @example
1113 --help, -h            Print the embedded help page(s).
1114 --clean, -c           Cleanup directory before analyzing.
1115 --no-warnings, -n     Don't show warnings. Report errors only.
1116 --skip-existing, -s   Skip already compiled files (an *.o file exists).
1117 --skip-largefiles, -S Don't compile large entities like DSP and PCIe primitives.
1118 --halt-on-error, -H   Stop compiling if an error occurred.
1119 @end example
1121 @item 
1122 @code{compile-altera.sh}
1124 Selectable libraries:
1126 @example
1127 --all, -a             Compile all libraries, including common libraries, packages and device libraries.
1128 --altera              Compile base libraries like 'altera' and 'altera_mf'
1129 --max                 Compile device libraries for Max CPLDs
1130 --arria               Compile device libraries for Arria FPGAs
1131 --cyclone             Compile device libraries for Cyclone FPGAs
1132 --stratix             Compile device libraries for Stratix FPGAs
1133 @end example
1135 Compile options:
1137 @example
1138 --vhdl93              Compile selected libraries with VHDL-93 (default).
1139 --vhdl2008            Compile selected libraries with VHDL-2008.
1140 @end example
1142 @item 
1143 @code{compile-xilinx-ise.sh}
1145 Selectable libraries:
1147 @example
1148 --all, -a             Compile all libraries, including common libraries, packages and device libraries.
1149 --unisim              Compile the unisim primitives
1150 --unimacro            Compile the unimacro macros
1151 --simprim             Compile the simprim primitives
1152 --corelib             Compile the xilinxcorelib macros
1153 --secureip            Compile the secureip primitives
1154 @end example
1156 Compile options:
1158 @example
1159 --vhdl93              Compile selected libraries with VHDL-93 (default).
1160 --vhdl2008            Compile selected libraries with VHDL-2008.
1161 @end example
1163 @item 
1164 @code{compile-xilinx-vivado.sh}
1166 Selectable libraries:
1168 @example
1169 --all, -a             Compile all libraries, including common libraries, packages and device libraries.
1170 --unisim              Compile the unisim primitives
1171 --unimacro            Compile the unimacro macros
1172 --secureip            Compile the secureip primitives
1173 @end example
1175 Compile options:
1177 @example
1178 --vhdl93              Compile selected libraries with VHDL-93 (default).
1179 --vhdl2008            Compile selected libraries with VHDL-2008.
1180 @end example
1182 @item 
1183 @code{compile-osvvm.sh}
1185 Selectable libraries:
1187 @example
1188 --all, -a             Compile all.
1189 --osvvm               Compile the OSVVM library.
1190 @end example
1192 @item 
1193 @code{compile-uvvm.sh}
1195 Selectable libraries:
1197 @example
1198 --all, -a             Compile all.
1199 --uvvm                Compile the UVVM libraries.
1200 @end example
1201 @end itemize
1203 @node For PowerShell Scripts,,For Bash Scripts,Additional Script Parameters
1204 @anchor{getting for-powershell-scripts}@anchor{60}
1205 @subsubsection For PowerShell Scripts:
1209 @itemize *
1211 @item 
1212 Common parameters to all scripts:
1214 @example
1215 -Help                 Print the embedded help page(s).
1216 -Clean                Cleanup directory before analyzing.
1217 -SuppressWarnings     Don't show warnings. Report errors only.
1218 @end example
1220 @item 
1221 @code{compile-altera.ps1}
1223 Selectable libraries:
1225 @example
1226 -All                  Compile all libraries, including common libraries, packages and device libraries.
1227 -Altera               Compile base libraries like 'altera' and 'altera_mf'
1228 -Max                  Compile device libraries for Max CPLDs
1229 -Arria                Compile device libraries for Arria FPGAs
1230 -Cyclone              Compile device libraries for Cyclone FPGAs
1231 -Stratix              Compile device libraries for Stratix FPGAs
1232 @end example
1234 Compile options:
1236 @example
1237 -VHDL93               Compile selected libraries with VHDL-93 (default).
1238 -VHDL2008             Compile selected libraries with VHDL-2008.
1239 @end example
1241 @item 
1242 @code{compile-xilinx-ise.ps1}
1244 Selectable libraries:
1246 @example
1247 -All                  Compile all libraries, including common libraries, packages and device libraries.
1248 -Unisim               Compile the unisim primitives
1249 -Unimacro             Compile the unimacro macros
1250 -Simprim              Compile the simprim primitives
1251 -CoreLib              Compile the xilinxcorelib macros
1252 -Secureip             Compile the secureip primitives
1253 @end example
1255 Compile options:
1257 @example
1258 -VHDL93               Compile selected libraries with VHDL-93 (default).
1259 -VHDL2008             Compile selected libraries with VHDL-2008.
1260 @end example
1262 @item 
1263 @code{compile-xilinx-vivado.ps1}
1265 Selectable libraries:
1267 @example
1268 -All                  Compile all libraries, including common libraries, packages and device libraries.
1269 -Unisim               Compile the unisim primitives
1270 -Unimacro             Compile the unimacro macros
1271 -Secureip             Compile the secureip primitives
1272 @end example
1274 Compile options:
1276 @example
1277 -VHDL93               Compile selected libraries with VHDL-93 (default).
1278 -VHDL2008             Compile selected libraries with VHDL-2008.
1279 @end example
1281 @item 
1282 @code{compile-osvvm.ps1}
1284 Selectable libraries:
1286 @example
1287 -All                  Compile all.
1288 -OSVVM                Compile the OSVVM library.
1289 @end example
1291 @item 
1292 @code{compile-uvvm.ps1}
1294 Selectable libraries:
1296 @example
1297 -All                  Compile all.
1298 -UVVM                 Compile the UVVM libraries.
1299 @end example
1300 @end itemize
1303 __________________________________________________________________
1306 @quotation
1307 @end quotation
1309 @c # Load pre-defined aliases and graphical characters like © from docutils
1310 @c # <file> is used to denote the special path
1311 @c # <Python>\Lib\site-packages\docutils\parsers\rst\include
1313 @c This data file has been placed in the public domain.
1315 @c Derived from the Unicode character mappings available from
1316 @c <http://www.w3.org/2003/entities/xml/>.
1317 @c Processed by unicode2rstsubs.py, part of Docutils:
1318 @c <http://docutils.sourceforge.net>.
1320 @c This data file has been placed in the public domain.
1322 @c Derived from the Unicode character mappings available from
1323 @c <http://www.w3.org/2003/entities/xml/>.
1324 @c Processed by unicode2rstsubs.py, part of Docutils:
1325 @c <http://docutils.sourceforge.net>.
1327 @c # define a hard line break for HTML
1330 @node Contributing,Copyrights | Licenses,Getting | Installing,Top
1331 @anchor{contribute doc}@anchor{61}@anchor{contribute contributing}@anchor{62}@anchor{contribute intro-contributing}@anchor{63}
1332 @chapter Contributing
1335 As in many other free and open source projects, there are many areas requiring different skills where contributions to GHDL
1336 are welcome. The first step might be to use GHDL and explore its possibilities in your own project. If you are new to VHDL,
1337 see the @ref{64,,Simulation} for an introduction. Furthermore, we encourage you to read @ref{65,,Invoking GHDL},
1338 where the most commonly used options are explained. You can also check the complete @ref{66,,Additional Command Reference}.
1340 If you are more familiar with GHDL, you might start asking yourself how it works internally. If so, you might find
1341 @ref{67,,Implementation of VHDL} and @ref{68,,Implementation of VITAL} interesting.
1343 While using GHDL, you might find flaws, such as bugs, missing features, typos in the documentation, or topics which still are
1344 not covered. In order to improve GHDL, we welcome bug reports, suggestions, and contributions for any aspect of
1345 GHDL. Whether it’s a bug or an enhancement, have a look at the 
1346 @image{GHDL-figures//Users/gingold/devel/ghdl/doc/_build/doctrees/images/75d23ce669cbc7993d4d057361ad0c2a3d5d5271/ghdl,,,Open issues,svg}
1347  and 
1348 @image{GHDL-figures//Users/gingold/devel/ghdl/doc/_build/doctrees/images/e1c1423669df5839910ed47f754b67708d362d8b/ghdl,,,Closed issues,svg}
1349  to see
1350 if someone already told us about it. You might find a solution there.
1352 Ideas for future work, enhancements, documentation, and internship programs are shown in the GitHub wiki@footnote{https://github.com/ghdl/ghdl/wiki}.
1354 If you found no information on your topic, please, report so that we are aware! You can reach us through various ways:
1356 @image{GHDL-figures//Users/gingold/devel/ghdl/doc/_build/doctrees/images/16176de4998e1a71b2f57993443202b2d81671f4/chat-on%20gitter-4db797,,,Talk to us on Gitter,svg}
1357  or open a 
1358 @image{GHDL-figures//Users/gingold/devel/ghdl/doc/_build/doctrees/images/dfb2204df41464917807ba7d4295bf10566f1193/new-issue-yellowgreen,,,Open new issue at GitHub,svg}
1361 @cartouche
1362 @quotation Hint 
1364 @itemize *
1366 @item 
1367 Since the development of GHDL started in 2002, multiple platforms have been used as a support for both distribution
1368 and getting feedback. However, the development is now centralized in github.com/ghdl@footnote{https://github.com/ghdl}.
1370 @item 
1371 How To Ask Questions The Smart Way@footnote{www.catb.org/~esr/faqs/smart-questions.html}
1372 @end itemize
1373 @end quotation
1374 @end cartouche
1376 @menu
1377 * Reporting bugs:: 
1378 * Requesting enhancements:: 
1379 * Improving the documentation:: 
1380 * Fork@comma{} modify and pull-request: Fork modify and pull-request. 
1381 * Related interesting projects:: 
1383 @end menu
1385 @node Reporting bugs,Requesting enhancements,,Contributing
1386 @anchor{contribute id1}@anchor{69}@anchor{contribute reporting-bugs}@anchor{6a}
1387 @section Reporting bugs
1391 @itemize *
1393 @item 
1394 If the compiler crashes, this is a bug. Reliable tools never crash.
1396 @item 
1397 If the compiler emits an error message for a perfectly valid input or does not emit an error message for an invalid
1398 input, this may be a bug.
1400 @item 
1401 If the executable created from your VHDL sources crashes, this may be a bug at runtime or the code itself may be
1402 wrong. Since VHDL has a notion of pointers, an erroneous VHDL program (using invalid pointers for example) may crash.
1404 @item 
1405 If a compiler message is not clear enough, please tell us. The error messages can be improved, but we do not have
1406 enough experience with them.
1408 @item 
1409 It is suggested to test synthesis features with @ref{6b,,--synth}, before processing the design with @ref{6c,,Yosys plugin}.
1410 @end itemize
1412 Please, report issues through 
1413 @image{GHDL-figures//Users/gingold/devel/ghdl/doc/_build/doctrees/images/bac95e4b3b8c2d7bfbc31a7ce9892272a524ef30/new-bug--report-yellowgreen,,,Open new bug report at GitHub,svg}
1414 , as this allows us to categorize issues into groups and to assign developers
1415 to them. You can track the state and see how it’s getting solved.
1417 @cartouche
1418 @quotation Important 
1419 As suggested in the bug report template, please elaborate a @cite{Minimal (non) Working Example} (MWE@footnote{https://en.wikipedia.org/wiki/Minimal_Working_Example})
1420 prior to sending the report, so that the possible bug source is isolated. Should it fulfill the format requirements of
1421 issue-runner@footnote{https://github.com/umarcor/issue-runner}, you would be able to test your bug with the latest GHDL version.
1422 Please do so in order to ensure that the bug is not solved already.
1424 Also, please include enough information in the bug report, for the maintainers to reproduce the problem. The template
1425 includes:
1428 @itemize *
1430 @item 
1431 Operating system and version of GHDL (you can get it with @code{ghdl version} and @code{ghdl hash}).
1433 @item 
1434 Whether you have built GHDL from sources (provide short SHA of the used commit) or used the binary distribution
1435 (note which release/tag); if you cannot compile, please report which compiler you are using and the version.
1437 @item 
1438 Content of the input files which comprise the MWE.
1440 @item 
1441 Description of the problem:
1444 @itemize *
1446 @item 
1447 Comment explaining whether the MWE should compile or not; if yes, whether it should run until the assertion.
1449 @item 
1450 What you expect to happen and what you actually get. If you know the LRM well enough, please specify which paragraph
1451 might not be implemented well.
1453 @item 
1454 Samples of any log.
1456 @item 
1457 Anything else that you think would be helpful.
1458 @end itemize
1459 @end itemize
1460 @end quotation
1461 @end cartouche
1463 @cartouche
1464 @quotation Note 
1465 If you don’t know the LRM, be aware that an issue claimed as a bug report may be rejected because there is no bug
1466 according to it. GHDL aims at implementing VHDL as defined in IEEE 1076@footnote{http://ieeexplore.ieee.org/document/4772740/}.
1467 However, some other tools allow constructs which do not fully follow the standard revisions. Therefore, comparisons
1468 with other VHDL variants is not a solid argument. Some of them are supported by GHDL (see @ref{6d,,IEEE library pitfalls}),
1469 but any such enhancement will have very low priority.
1470 @end quotation
1471 @end cartouche
1473 @node Requesting enhancements,Improving the documentation,Reporting bugs,Contributing
1474 @anchor{contribute id2}@anchor{6e}@anchor{contribute requesting-enhancements}@anchor{6f}
1475 @section Requesting enhancements
1479 @image{GHDL-figures//Users/gingold/devel/ghdl/doc/_build/doctrees/images/89dd2439936c60b66feb51ba1c0d6a38facef2d8/1561565e8455e49d1382462c9afb48178cccd06f,,,Open new feature request at GitHub,svg?logo=github&style=flat-square&longCache=true}
1481 @image{GHDL-figures//Users/gingold/devel/ghdl/doc/_build/doctrees/images/16176de4998e1a71b2f57993443202b2d81671f4/chat-on%20gitter-4db797,,,Talk to us on Gitter,svg}
1484 All enhancements and feature requests are welcome. Please open a new issue@footnote{https://github.com/ghdl/ghdl/issues/new}
1485 to report any, so you can track the status and implementation. Depending on the complexity of the request,
1486 you may want to chat on Gitter@footnote{https://gitter.im/ghdl/ghdl1}, for polishing it before opening an issue.
1488 @node Improving the documentation,Fork modify and pull-request,Requesting enhancements,Contributing
1489 @anchor{contribute improving-the-documentation}@anchor{70}
1490 @section Improving the documentation
1493 If you found a mistake in the documentation, please send a comment. If you didn’t understand some parts of this manual,
1494 please tell us. English is not our mother tongue, so this documentation may not be well-written.
1496 Likewise, rewriting part of the documentation or missing content (such as examples) is a good way to improve it. Since
1497 it is built automatically from @cite{reStructuredText}, you can fork, modify and push. The documentation will be shown
1498 in the GitHub Pages site of your fork: @code{https://USERNAME.github.io/ghdl}. When you are done, request the maintainers
1499 to pull your copy. See @ref{71,,Fork@comma{} modify and pull-request}.
1501 @node Fork modify and pull-request,Related interesting projects,Improving the documentation,Contributing
1502 @anchor{contribute fork-modify-and-pull-request}@anchor{72}@anchor{contribute pull-request}@anchor{71}
1503 @section Fork, modify and pull-request
1506 @cartouche
1507 @quotation Tip 
1509 @itemize *
1511 @item 
1512 Before starting any modification, you might want to have a look at 
1513 @image{GHDL-figures//Users/gingold/devel/ghdl/doc/_build/doctrees/images/c3a4692a25333d57bb8c1ce54f97f15c6846ba63/ghdl,,,Open pull requests,svg}
1514  and 
1515 @image{GHDL-figures//Users/gingold/devel/ghdl/doc/_build/doctrees/images/6497f995f9ef9e87d1fe97a236cebe38959dc915/ghdl,,,Closed pull requests,svg}
1517 to check which other contributions are being made or have been made. If you observe that the modifications you are
1518 about to start might conflict with any other, please 
1519 @image{GHDL-figures//Users/gingold/devel/ghdl/doc/_build/doctrees/images/16176de4998e1a71b2f57993443202b2d81671f4/chat-on%20gitter-4db797,,,Talk to us on Gitter,svg}
1520  or open a 
1521 @image{GHDL-figures//Users/gingold/devel/ghdl/doc/_build/doctrees/images/82b759af84cd517081b3c031dfbe444f16595fb9/f125673efc1475d3e54f355fd17e00e44587099a,,,Open new Pull Request (PR) at GitHub,svg?logo=github&style=flat-square&longCache=true}
1522  to coordinate.
1524 @item 
1525 See section @ref{73,,Directory structure} to faster find the location of the sources you need to modify, and/or to know
1526 where to place new ones.
1527 @end itemize
1528 @end quotation
1529 @end cartouche
1531 Contributing source code/documentation is done through git@footnote{https://git-scm.com/}. Although we don’t provide direct
1532 write access to our repositories, the project is hosted at GitHub, which follows a fork, edit and pull-request
1533 flow@footnote{https://help.github.com/articles/github-flow/} . That is:
1536 @enumerate 
1538 @item 
1539 Make a copy (fork@footnote{https://help.github.com/articles/fork-a-repo/}) of the project.
1541 @item 
1542 Do the changes you wish (edit, add, rename, move and/or delete).
1544 @item 
1545 When you think that the changes are ready to be merged, notify the maintainers by opening a Pull Request@footnote{https://help.github.com/articles/creating-a-pull-request/} (PR).
1547 @item 
1548 The maintainers will review the proposed changes and will reply in the corresponding thread if any further modification
1549 is required. If so, you can keep adding commits to the same branch, and the PR will be automatically updated.
1551 @item 
1552 Last, maintainers will merge your branch. You will be notified, the PR will be closed, and you’ll be allowed to
1553 delete the branch, if you want.
1554 @end enumerate
1556 @cartouche
1557 @quotation Tip 
1559 @itemize *
1561 @item 
1562 It is recommended to read A successful Git branching model@footnote{http://nvie.com/posts/a-successful-git-branching-model/}
1563 for a reference on how maintainers expect to handle multiple branches. However, our actual model is not as exhaustive
1564 as explained there.
1566 @item 
1567 Some commit messages can automatically close@footnote{https://help.github.com/articles/closing-issues-via-commit-messages/}
1568 issues. This is a very useful feature, which you are not required to use. However beware that using @cite{fix} anywhere
1569 in the commit message can have side effects. If you closed any issue unexpectedly, just reply to it (even if it’s
1570 closed) so that maintainers can check it.
1572 @item 
1573 It is recommended to read @ref{74,,Coding Style} before contributing modifications to Ada sources.
1574 @end itemize
1575 @end quotation
1576 @end cartouche
1578 @node Related interesting projects,,Fork modify and pull-request,Contributing
1579 @anchor{contribute related-interesting-projects}@anchor{75}
1580 @section Related interesting projects
1583 If you have an interesting project, please send us feedback or get listed on our @ref{4b,,Who uses GHDL?} page.
1585 @c # Load pre-defined aliases and graphical characters like © from docutils
1586 @c # <file> is used to denote the special path
1587 @c # <Python>\Lib\site-packages\docutils\parsers\rst\include
1589 @c This data file has been placed in the public domain.
1591 @c Derived from the Unicode character mappings available from
1592 @c <http://www.w3.org/2003/entities/xml/>.
1593 @c Processed by unicode2rstsubs.py, part of Docutils:
1594 @c <http://docutils.sourceforge.net>.
1596 @c This data file has been placed in the public domain.
1598 @c Derived from the Unicode character mappings available from
1599 @c <http://www.w3.org/2003/entities/xml/>.
1600 @c Processed by unicode2rstsubs.py, part of Docutils:
1601 @c <http://docutils.sourceforge.net>.
1603 @c # define a hard line break for HTML
1605 @node Copyrights | Licenses,Quick Start Guide,Contributing,Top
1606 @anchor{licenses doc}@anchor{76}@anchor{licenses copyrights-licenses}@anchor{77}@anchor{licenses intro-copyrights}@anchor{78}
1607 @chapter Copyrights | Licenses
1611 @itemize -
1613 @item 
1614 The GHDL front-end package @code{std.textio}, and the runtime library @code{GRT} are given under @ref{79,,GNU GPLv2}.
1616 @item 
1617 The documentation is given under @ref{7a,,CC-BY-SA}.
1618 @end itemize
1620 @cartouche
1621 @quotation Warning 
1622 As a consequence of the runtime copyright, you are not allowed to distribute an executable produced by GHDL without allowing
1623 access to the VHDL sources. Please, send a comment (@ref{6f,,Requesting enhancements}) if you don’t like this policy.
1624 @end quotation
1625 @end cartouche
1627 The following packages are copyrighted by third parties (see corresponding sources for more information):
1630 @itemize *
1632 @item 
1633 These from library @code{ieee} are copyrighted by Institute of Electrical and Electronics Engineers (IEEE)@footnote{https://www.ieee.org}:
1636 @itemize *
1638 @item 
1639 Since December 2019, standard and IEEE libraries are distributed under Apache 2.0 and available at
1640 opensource.ieee.org/vasg/Packages@footnote{https://opensource.ieee.org/vasg/Packages}. GHDL includes backports of those
1641 libraries for earlier revisions of the standard.
1643 @item 
1644 @code{VITAL_Primitives}, @code{VITAL_Timing} and @code{VITAL_Memory} are not include in the open source distribution of IEEE (see IEEE 1076.4@footnote{http://ieeexplore.ieee.org/document/954750/}).
1645 @end itemize
1647 @item 
1648 The following sources may be used and distributed without restriction, provided that the copyright statements are not
1649 removed from the files and that any derivative work contains the copyright notice.
1652 @itemize *
1654 @item 
1655 @code{synopsys} directory: @code{std_logic_arith}, @code{std_logic_signed}, @code{std_logic_unsigned} and @code{std_logic_textio} are
1656 copyrighted by Synopsys@comma{} Inc.@footnote{https://www.synopsys.com/}
1658 @item 
1659 @code{mentor} directory: @code{std_logic_arith} is copyrighted by Mentor Graphics@footnote{https://www.mentor.com}.
1660 @end itemize
1661 @end itemize
1663 @menu
1664 * GNU GPLv2:: 
1665 * CC-BY-SA:: 
1666 * List of Contributors:: 
1668 @end menu
1670 @node GNU GPLv2,CC-BY-SA,,Copyrights | Licenses
1671 @anchor{licenses gnu-gplv2}@anchor{7b}@anchor{licenses lic-gplv2}@anchor{79}
1672 @section GNU GPLv2
1675 GHDL is copyright © 2002 - 2022 Tristan Gingold.
1677 This program is free software; you can redistribute it and/or modify it under the terms of the GNU General Public License as published by the Free Software Foundation; either version 2 of the License, or (at your option) any later version.
1679 This program is distributed in the hope that it will be useful, but @strong{WITHOUT ANY WARRANTY}; without even the implied warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License@footnote{https://www.gnu.org/licenses/old-licenses/gpl-2.0.html} for more details.
1681 @node CC-BY-SA,List of Contributors,GNU GPLv2,Copyrights | Licenses
1682 @anchor{licenses cc-by-sa}@anchor{7c}@anchor{licenses lic-cc-by-sa}@anchor{7a}
1683 @section CC-BY-SA
1686 This is a free documentation; you can redistribute it and/or modify it under the terms of the Creative Commons Attribution-ShareAlike 4.0@footnote{https://creativecommons.org/licenses/by-sa/4.0/} license. You are free to @strong{share} (copy and redistribute the material in any medium or format) and/or @strong{adapt} (remix, transform, and build upon the material for any purpose, even commercially). We cannot revoke these freedoms as long as you follow the these terms:
1689 @itemize -
1691 @item 
1692 @strong{Attribution}: you must provide the name of the creator and attribution parties (more info@footnote{https://wiki.creativecommons.org/wiki/License_Versions#Detailed_attribution_comparison_chart}), a copyright notice, a license notice, a disclaimer notice, a link to the material, a link to the license and indicate if changes were made (see marking guide@footnote{https://wiki.creativecommons.org/wiki/Best_practices_for_attribution#This_is_a_good_attribution_for_material_you_modified_slightly} and more info@footnote{https://wiki.creativecommons.org/wiki/License_Versions#Modifications_and_adaptations_must_be_marked_as_such}). You may do so in any reasonable manner, but not in any way that suggests we endorse you or your use.
1694 @item 
1695 @strong{ShareAlike}: if you remix, transform, or build upon the material, you must distribute your contributions under the same license as the original.
1697 @item 
1698 @strong{No additional restrictions}: you may not apply legal terms or technological measures that legally restrict others from doing anything the license permits.
1699 @end itemize
1701 See CC-BY-SA-4.0 Legal Code@footnote{https://creativecommons.org/licenses/by-sa/4.0/legalcode.txt} for more details.
1703 @node List of Contributors,,CC-BY-SA,Copyrights | Licenses
1704 @anchor{licenses lic-contributors}@anchor{7d}@anchor{licenses list-of-contributors}@anchor{7e}
1705 @section List of Contributors
1709 @multitable {xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx} {xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx} 
1710 @headitem
1712 Contributor @footnote{
1713 In alphabetical order
1716 @tab
1718 Role
1720 @item
1722 Baggett, Jonas
1724 @tab
1726 signal selection
1728 @item
1730 Bertram, Felix
1732 @tab
1734 VPI interface
1736 @item
1738 Davis, Brian
1740 @tab
1742 Windows Mcode builds
1744 @item
1746 Drummond, Brian
1748 @tab
1750 GCC 4.8.2 update, OSVVM port, some bugfixes
1752 @item
1754 Gingold, Tristan @footnote{
1755 Maintainer
1758 @tab
1760 @strong{Sole author of GHDL as a whole}
1762 @item
1764 Jensen, Adam
1766 @tab
1768 FreeBSD builds
1770 @item
1772 Koch, Markus
1774 @tab
1776 vendor pre-compile script for Lattice (GNU/Linux)
1778 @item
1780 Koontz, David
1782 @tab
1784 Mac OSX builds, LRM compliance work, bugfix analyses
1786 @item
1788 Lehmann, Patrick
1790 @tab
1792 Windows compile scripts, vendor library pre-compile scripts (win+lin), building in MinGW, AppVeyor integration.
1794 @item
1796 Meißner, Torsten
1798 @tab
1800 Property Specification Language (PSL): docs, tests, synthesis support
1802 @item
1804 Martinez-Corral, Unai @footnote{
1805 Maintainer
1808 @tab
1810 ghdl-cosim, setup-ghdl-ci, docs, docker/CI, MSYS2 packaging, building/testing on ARM, termux builds
1812 @item
1814 van Rantwijk, Joris
1816 @tab
1818 Debian packaging
1820 @end multitable
1824 Only those who made substantial contributions are shown in the table above, but many others contributed with minor patches. You can find a list at 
1825 @image{GHDL-figures//Users/gingold/devel/ghdl/doc/_build/doctrees/images/6f8cd1a5e6840820b92af0cbdd95adc63019ebd5/ghdl,,,Contributors,svg}
1828 With apologies to anyone who ought to be either on this table or in the GitHub contributor list, but isn’t. Thanks also to all those who have reported bugs and support issues, and often patches and testcases to either the late gna! website or sourceforge.net/p/ghdl-updates/tickets@footnote{https://sourceforge.net/p/ghdl-updates/tickets/}.
1831 __________________________________________________________________
1834 @c # Load pre-defined aliases and graphical characters like © from docutils
1835 @c # <file> is used to denote the special path
1836 @c # <Python>\Lib\site-packages\docutils\parsers\rst\include
1838 @c This data file has been placed in the public domain.
1840 @c Derived from the Unicode character mappings available from
1841 @c <http://www.w3.org/2003/entities/xml/>.
1842 @c Processed by unicode2rstsubs.py, part of Docutils:
1843 @c <http://docutils.sourceforge.net>.
1845 @c This data file has been placed in the public domain.
1847 @c Derived from the Unicode character mappings available from
1848 @c <http://www.w3.org/2003/entities/xml/>.
1849 @c Processed by unicode2rstsubs.py, part of Docutils:
1850 @c <http://docutils.sourceforge.net>.
1852 @c # define a hard line break for HTML
1854 @node Quick Start Guide,Invoking GHDL,Copyrights | Licenses,Top
1855 @anchor{quick_start/index doc}@anchor{7f}@anchor{quick_start/index quick-start-guide}@anchor{80}@anchor{quick_start/index using-quickstart}@anchor{81}
1856 @chapter Quick Start Guide
1859 Since this is the user and reference manual for @cite{GHDL}, it does not contain an introduction to @cite{VHDL}. Thus, the reader
1860 should have at least a basic knowledge of @cite{VHDL}. A good knowledge of @cite{VHDL} language reference manual (usually called LRM)
1861 is a plus. Nevertheless, multiple explained examples are provided, in the hope that they are useful for users to learn
1862 about both @cite{GHDL} and @cite{VHDL}.
1864 @c # Load pre-defined aliases and graphical characters like © from docutils
1865 @c # <file> is used to denote the special path
1866 @c # <Python>\Lib\site-packages\docutils\parsers\rst\include
1868 @c This data file has been placed in the public domain.
1870 @c Derived from the Unicode character mappings available from
1871 @c <http://www.w3.org/2003/entities/xml/>.
1872 @c Processed by unicode2rstsubs.py, part of Docutils:
1873 @c <http://docutils.sourceforge.net>.
1875 @c This data file has been placed in the public domain.
1877 @c Derived from the Unicode character mappings available from
1878 @c <http://www.w3.org/2003/entities/xml/>.
1879 @c Processed by unicode2rstsubs.py, part of Docutils:
1880 @c <http://docutils.sourceforge.net>.
1882 @c # define a hard line break for HTML
1884 @menu
1885 * Simulation:: 
1886 * Python Interfaces:: 
1888 @end menu
1890 @node Simulation,Python Interfaces,,Quick Start Guide
1891 @anchor{quick_start/simulation/index doc}@anchor{82}@anchor{quick_start/simulation/index simulation}@anchor{83}@anchor{quick_start/simulation/index using-quickstart-simulation}@anchor{64}
1892 @section Simulation
1895 As explained in @ref{47,,What is GHDL?}, @cite{GHDL} is a compiler which translates @cite{VHDL} files to machine code.
1896 Hence, the regular workflow is composed of three steps:
1899 @itemize *
1901 @item 
1902 @ref{84,,Analysis [-a]}: convert design units (@cite{VHDL} sources) to an internal representation.
1904 @item 
1905 @ref{85,,Elaboration [-e]}: generate executable machine code for a target module (top-level entity).
1907 @item 
1908 @ref{86,,Run [-r]}: execute the design to test the behaviour, generate output/waveforms, etc.
1909 @end itemize
1911 The following tips might be useful:
1914 @itemize *
1916 @item 
1917 Don’t forget to select the version of the VHDL standard you want to use (see @ref{87,,VHDL standards}).
1918 The default is @ref{88,,--std=93c}.
1919 Use @ref{88,,--std=08} for VHDL-2008 (albeit not fully implemented).
1922 @itemize *
1924 @item 
1925 Use @ref{89,,--ieee=synopsys} if your design depends on a non-standard implementation of the IEEE library.
1927 @item 
1928 Use @ref{8a,,-fexplicit} and @ref{8b,,-frelaxed-rules} if needed.
1929 For instance, if you would like to use VHDL 2008 and also use shared variables with an ordinary type (deprecated in
1930 VHDL 2000), you can use @code{--std=08 -frelaxed-rules}.
1931 @end itemize
1933 @item 
1934 Use @ref{8c,,--work=LIB_NAME} to analyze files into the @code{LIB_NAME} library.
1935 To use files analyzed to a different directory, give the path to the @code{LIB_NAME} library using
1936 @ref{8d,,-P/path/to/name/directory/}.
1938 @item 
1939 Use the same options for analysis and elaboration.
1940 E.g., first analyse with @code{ghdl -a --std=08 --work=mylib myfile.vhdl}; and then elaborate and run with
1941 @code{ghdl --elab-run --std=08 top}.
1942 @end itemize
1944 Due to the fact that @cite{VHDL} is processed as a general purpose language (instead of an @cite{HDL}), all the language features
1945 are to be supported.
1946 I.e., @cite{VHDL} sources do not need to be limited to the synthesisable subset.
1947 However, distinction between synthesisable and non-synthesisable (simulation-only) subsets is often misleading for users
1948 who are new to the language.
1949 Different examples are provided, in the hope of helping understand the different use cases:
1951 @c # Load pre-defined aliases and graphical characters like © from docutils
1952 @c # <file> is used to denote the special path
1953 @c # <Python>\Lib\site-packages\docutils\parsers\rst\include
1955 @c This data file has been placed in the public domain.
1957 @c Derived from the Unicode character mappings available from
1958 @c <http://www.w3.org/2003/entities/xml/>.
1959 @c Processed by unicode2rstsubs.py, part of Docutils:
1960 @c <http://docutils.sourceforge.net>.
1962 @c This data file has been placed in the public domain.
1964 @c Derived from the Unicode character mappings available from
1965 @c <http://www.w3.org/2003/entities/xml/>.
1966 @c Processed by unicode2rstsubs.py, part of Docutils:
1967 @c <http://docutils.sourceforge.net>.
1969 @c # define a hard line break for HTML
1971 @menu
1972 * Hello world program:: 
1973 * Heartbeat module:: 
1974 * Full adder module and testbench:: 
1975 * Working with non-trivial designs:: 
1977 @end menu
1979 @node Hello world program,Heartbeat module,,Simulation
1980 @anchor{quick_start/simulation/hello/index doc}@anchor{8e}@anchor{quick_start/simulation/hello/index hello-world-program}@anchor{8f}@anchor{quick_start/simulation/hello/index quickstart-hello}@anchor{90}
1981 @subsection @cite{Hello world} program
1984 To illustrate the general purpose of @cite{VHDL}, the following block is a commented @cite{Hello world} program which is saved in
1985 a file named @code{hello.vhdl}:
1987 @example
1988 --  Hello world program
1989 use std.textio.all; -- Imports the standard textio package.
1991 --  Defines a design entity, without any ports.
1992 entity hello_world is
1993 end hello_world;
1995 architecture behaviour of hello_world is
1996 begin
1997   process
1998     variable l : line;
1999   begin
2000     write (l, String'("Hello world!"));
2001     writeline (output, l);
2002     wait;
2003   end process;
2004 end behaviour;
2005 @end example
2007 @cartouche
2008 @quotation Tip 
2010 @itemize *
2012 @item 
2013 Both @code{.vhdl} and @code{.vhd} extensions are used for @cite{VHDL} source files, while @code{.v} is used for Verilog.
2015 @quotation
2018 @itemize *
2020 @item 
2021 Since, extension @code{.vhd} is also interpreted as a Virtual Hard Disk@footnote{https://en.wikipedia.org/wiki/VHD_(file_format)} file
2022 format, some users prefer @code{.vhdl}, to avoid ambiguity.
2023 This is the case with @cite{GHDL}’s codebase.
2024 However, in order to maintain backward-compatibility@footnote{https://en.wikipedia.org/wiki/https://en.wikipedia.org/wiki/8.3_filename}
2025 with legacy DOS systems, other users prefer @code{.vhd}.
2026 @end itemize
2027 @end quotation
2029 @item 
2030 Unless you use especial characters, either @cite{UTF-8} or @cite{ISO-8859-1} encodings can be used.
2031 However, if you do, the latter should be used.
2032 The standard defines ASCII (7-bit encoding) or ISO Latin-1 (ISO-8859-1) as default.
2033 However, GHDL has a relaxing option, @ref{91,,--mb-comments} (multi byte), to allow UTF-8 or other encodings in
2034 comments.
2035 @end itemize
2036 @end quotation
2037 @end cartouche
2040 @itemize *
2042 @item 
2043 First, you have to compile the file; this is called @ref{84,,analysis} of a design file in @cite{VHDL}
2044 terms. Run @code{ghdl -a hello.vhdl} in the @cite{shell}.
2045 This command creates or updates a file @code{work-obj93.cf}, which describes the library @code{work}.
2047 @item 
2048 Then, run @code{ghdl -e hello_world} in the @cite{shell}.
2049 Command @ref{92,,-e} means @ref{85,,elaborate}, which is used to build a design, with the
2050 @code{hello_world} entity at the top of the hierarchy.
2052 @item 
2053 Last, you can directly launch the simulation @ref{86,,running} @code{ghdl -r hello_world} in the @cite{shell}.
2054 The result of the simulation will be shown on screen:
2055 @end itemize
2057 @example
2058 Hello world!
2059 @end example
2061 @cartouche
2062 @quotation Hint 
2063 If a GCC/LLVM variant of @cite{GHDL} is used:
2066 @itemize *
2068 @item 
2069 @ref{84,,Analysis} generates a file, @code{hello.o}, which is the object file corresponding to
2070 your @cite{VHDL} program. This is not created with @ref{51,,mcode}.
2071 These kind of object files can be compiled into foreign programs (see Linking GHDL object files to Ada/C@footnote{https://ghdl.github.io/ghdl-cosim/vhpidirect/linking.html#linking-with-ada}).
2073 @item 
2074 The @ref{85,,elaboration} step is mandatory after running the analysis and prior to launching the
2075 simulation.
2076 This will generate an executable binary named @code{hello_world}.
2078 @item 
2079 As a result, @ref{93,,-r} is just a passthrough to the binary generated in the @cite{elaboration}.
2080 Therefore, the executable can be run directly: @code{./hello_world}. See @ref{93,,-r} for more informartion.
2081 @end itemize
2082 @end quotation
2083 @end cartouche
2085 @cartouche
2086 @quotation Hint 
2087 @ref{92,,-e} can be bypassed with @ref{51,,mcode}, since @ref{93,,-r} actually elaborates the design and saves
2088 it on memory before running the simulation.
2089 But you can still use it to check for some elaboration problems.
2090 @end quotation
2091 @end cartouche
2093 @c # Load pre-defined aliases and graphical characters like © from docutils
2094 @c # <file> is used to denote the special path
2095 @c # <Python>\Lib\site-packages\docutils\parsers\rst\include
2097 @c This data file has been placed in the public domain.
2099 @c Derived from the Unicode character mappings available from
2100 @c <http://www.w3.org/2003/entities/xml/>.
2101 @c Processed by unicode2rstsubs.py, part of Docutils:
2102 @c <http://docutils.sourceforge.net>.
2104 @c This data file has been placed in the public domain.
2106 @c Derived from the Unicode character mappings available from
2107 @c <http://www.w3.org/2003/entities/xml/>.
2108 @c Processed by unicode2rstsubs.py, part of Docutils:
2109 @c <http://docutils.sourceforge.net>.
2111 @c # define a hard line break for HTML
2113 @node Heartbeat module,Full adder module and testbench,Hello world program,Simulation
2114 @anchor{quick_start/simulation/heartbeat/index doc}@anchor{94}@anchor{quick_start/simulation/heartbeat/index heartbeat-module}@anchor{95}@anchor{quick_start/simulation/heartbeat/index quickstart-heartbeat}@anchor{96}
2115 @subsection @cite{Heartbeat} module
2118 Although @ref{90,,Hello world} illustrates that @cite{VHDL} is supported as a general purpose language, the
2119 main use case of @cite{GHDL} is to simulate hardware descriptions.
2120 The following block, which is saved in a file named @code{heartbeat.vhdl}, is an example of how to generate a 100 MHz
2121 clock signal with non-synthesisable VHDL:
2123 @example
2124 library ieee;
2125 use ieee.std_logic_1164.all;
2127 entity heartbeat is
2128   port ( clk: out std_logic);
2129 end heartbeat;
2131 architecture behaviour of heartbeat
2133   constant clk_period : time := 10 ns;
2134 begin
2135   -- Clock process definition
2136   clk_process: process
2137   begin
2138     clk <= '0';
2139     wait for clk_period/2;
2140     clk <= '1';
2141     wait for clk_period/2;
2142   end process;
2143 end behaviour;
2144 @end example
2146 It can be @ref{84,,analysed}, @ref{85,,elaborated} and @ref{86,,run}, as
2147 you already know:
2149 @example
2150 ghdl -a heartbeat.vhdl
2151 ghdl -e heartbeat
2152 ghdl -r heartbeat
2153 @end example
2155 However, execution of the design does not terminate. At the same time, no output is shown on screen. This is because,
2156 traditionally, hardware designs are continuously running devices which do not have a screen where to print. In this
2157 context, inspection and verification of the behaviour is done through waveforms@footnote{https://en.wikipedia.org/wiki/Waveform_viewer},
2158 which is supported by @cite{GHDL} (see @ref{97,,Export waveforms}). You can use either @ref{98,,--wave}, @ref{99,,--vcd},
2159 @ref{9a,,--vcdgz} or @ref{9b,,--fst} to save the signals of the simulation to a file. Then, terminate the execution
2160 (@code{C-c}) and you can inspect the wave with a viewer, such as GtkWave@footnote{http://gtkwave.sourceforge.net/}. As
2161 explained in the manual@footnote{http://gtkwave.sourceforge.net/gtkwave.pdf}, GtkWave @emph{‘relies on a post-mortem approach
2162 through the use of dumpfiles’}. Therefore, you should first simulate your design and dump a waveform file, say GHW:
2164 @example
2165 ghdl -r heartbeat --wave=wave.ghw
2166 @end example
2168 Then, you can view the dump:
2170 @example
2171 gtkwave wave.ghw
2172 @end example
2174 Of course, manually terminating the simulation is for illustration purposes only.
2175 In @ref{9c,,Full adder} and @ref{9d,,Working with non-trivial designs}, you will see how to write a testbench to terminate
2176 the simulation programmatically.
2178 @c # Load pre-defined aliases and graphical characters like © from docutils
2179 @c # <file> is used to denote the special path
2180 @c # <Python>\Lib\site-packages\docutils\parsers\rst\include
2182 @c This data file has been placed in the public domain.
2184 @c Derived from the Unicode character mappings available from
2185 @c <http://www.w3.org/2003/entities/xml/>.
2186 @c Processed by unicode2rstsubs.py, part of Docutils:
2187 @c <http://docutils.sourceforge.net>.
2189 @c This data file has been placed in the public domain.
2191 @c Derived from the Unicode character mappings available from
2192 @c <http://www.w3.org/2003/entities/xml/>.
2193 @c Processed by unicode2rstsubs.py, part of Docutils:
2194 @c <http://docutils.sourceforge.net>.
2196 @c # define a hard line break for HTML
2198 @node Full adder module and testbench,Working with non-trivial designs,Heartbeat module,Simulation
2199 @anchor{quick_start/simulation/adder/index doc}@anchor{9e}@anchor{quick_start/simulation/adder/index full-adder-module-and-testbench}@anchor{9f}@anchor{quick_start/simulation/adder/index quickstart-adder}@anchor{9c}
2200 @subsection @cite{Full adder} module and testbench
2203 Unlike @ref{96,,Heartbeat}, the target hardware design in this example is written using the
2204 synthesisable subset of @cite{VHDL}. It is a full adder@footnote{https://en.wikipedia.org/wiki/Adder_(electronics)#Full_adder} described in a file
2205 named @code{adder.vhdl}:
2207 @example
2208 entity adder is
2209   -- `i0`, `i1`, and the carry-in `ci` are inputs of the adder.
2210   -- `s` is the sum output, `co` is the carry-out.
2211   port (i0, i1 : in bit; ci : in bit; s : out bit; co : out bit);
2212 end adder;
2214 architecture rtl of adder is
2215 begin
2216   --  This full-adder architecture contains two concurrent assignments.
2217   --  Compute the sum.
2218   s <= i0 xor i1 xor ci;
2219   --  Compute the carry.
2220   co <= (i0 and i1) or (i0 and ci) or (i1 and ci);
2221 end rtl;
2222 @end example
2224 You can @ref{84,,analyse} this design file, @code{ghdl -a adder.vhdl}, and try to execute the @cite{adder}
2225 design. But this is useless, since nothing externally visible will happen. In order to check this full adder, a
2226 @emph{testbench} has to be run. The @emph{testbench} is a description of how to generate inputs and how to check the
2227 outputs of the Unit Under Test (UUT). This one is very simple, since the adder is also simple: it checks exhaustively
2228 all inputs. Note that only the behaviour is tested, timing constraints are not checked. A file named
2229 @code{adder_tb.vhdl} contains the testbench for the adder:
2231 @example
2232 --  A testbench has no ports.
2233 entity adder_tb is
2234 end adder_tb;
2236 architecture behav of adder_tb is
2237   --  Declaration of the component that will be instantiated.
2238   component adder
2239     port (i0, i1 : in bit; ci : in bit; s : out bit; co : out bit);
2240   end component;
2242   --  Specifies which entity is bound with the component.
2243   for adder_0: adder use entity work.adder;
2244   signal i0, i1, ci, s, co : bit;
2245 begin
2246   --  Component instantiation.
2247   adder_0: adder port map (i0 => i0, i1 => i1, ci => ci, s => s, co => co);
2249   --  This process does the real job.
2250   process
2251     type pattern_type is record
2252       --  The inputs of the adder.
2253       i0, i1, ci : bit;
2254       --  The expected outputs of the adder.
2255       s, co : bit;
2256     end record;
2257     --  The patterns to apply.
2258     type pattern_array is array (natural range <>) of pattern_type;
2259     constant patterns : pattern_array :=
2260       (('0', '0', '0', '0', '0'),
2261        ('0', '0', '1', '1', '0'),
2262        ('0', '1', '0', '1', '0'),
2263        ('0', '1', '1', '0', '1'),
2264        ('1', '0', '0', '1', '0'),
2265        ('1', '0', '1', '0', '1'),
2266        ('1', '1', '0', '0', '1'),
2267        ('1', '1', '1', '1', '1'));
2268   begin
2269     --  Check each pattern.
2270     for i in patterns'range loop
2271       --  Set the inputs.
2272       i0 <= patterns(i).i0;
2273       i1 <= patterns(i).i1;
2274       ci <= patterns(i).ci;
2275       --  Wait for the results.
2276       wait for 1 ns;
2277       --  Check the outputs.
2278       assert s = patterns(i).s
2279         report "bad sum value" severity error;
2280       assert co = patterns(i).co
2281         report "bad carry out value" severity error;
2282     end loop;
2283     assert false report "end of test" severity note;
2284     --  Wait forever; this will finish the simulation.
2285     wait;
2286   end process;
2288 end behav;
2289 @end example
2291 As usual, you should analyze the file, @code{ghdl -a adder_tb.vhdl}.
2293 @cartouche
2294 @quotation Hint 
2295 Then, if required, @ref{85,,elaborate} the testbench: @code{ghdl -e adder_tb}. You do not need to
2296 specify which object files are required, since @cite{GHDL} knows them and automatically adds them.
2297 @end quotation
2298 @end cartouche
2300 Now, it is time to @ref{86,,run} the testbench, @code{ghdl -r adder_tb}, and check the result on screen:
2302 @example
2303 adder_tb.vhdl:52:7:(assertion note): end of test
2304 @end example
2306 If your design is rather complex, you’d like to inspect signals as explained in @ref{96,,Heartbeat}.
2308 See section @ref{a0,,Simulation options}, for more details on other runtime options.
2310 @c # Load pre-defined aliases and graphical characters like © from docutils
2311 @c # <file> is used to denote the special path
2312 @c # <Python>\Lib\site-packages\docutils\parsers\rst\include
2314 @c This data file has been placed in the public domain.
2316 @c Derived from the Unicode character mappings available from
2317 @c <http://www.w3.org/2003/entities/xml/>.
2318 @c Processed by unicode2rstsubs.py, part of Docutils:
2319 @c <http://docutils.sourceforge.net>.
2321 @c This data file has been placed in the public domain.
2323 @c Derived from the Unicode character mappings available from
2324 @c <http://www.w3.org/2003/entities/xml/>.
2325 @c Processed by unicode2rstsubs.py, part of Docutils:
2326 @c <http://docutils.sourceforge.net>.
2328 @c # define a hard line break for HTML
2330 @node Working with non-trivial designs,,Full adder module and testbench,Simulation
2331 @anchor{quick_start/simulation/DLXModelSuite doc}@anchor{a1}@anchor{quick_start/simulation/DLXModelSuite quickstart-dlx}@anchor{9d}@anchor{quick_start/simulation/DLXModelSuite working-with-non-trivial-designs}@anchor{a2}
2332 @subsection Working with non-trivial designs
2335 Designs are usually more complex than the previous examples. Unless you are only studying VHDL, you will work with
2336 larger designs. Let’s see how to analyse a design such as the DLX model suite written by Peter Ashenden, which is
2337 distributed under the terms of the GNU General Public License. A copy is kept at ghdl.free.fr/dlx.tar.gz@footnote{http://ghdl.free.fr/dlx.tar.gz} .
2340 @itemize -
2342 @item 
2343 First, untar the sources: @code{tar zxvf dlx.tar.gz}.
2344 @end itemize
2346 @cartouche
2347 @quotation Hint 
2348 @quotation
2350 In order not to pollute the sources with the artifacts (@cite{WORK} library), it is a good idea to create a
2351 @code{work/} subdirectory. To any GHDL commands, we will add the @ref{a3,,--workdir=work} option, so
2352 that all files generated by the compiler (except the executable) will be placed in this directory.
2353 @end quotation
2355 @example
2356 $ cd dlx
2357 $ mkdir work
2358 @end example
2359 @end quotation
2360 @end cartouche
2363 @itemize *
2365 @item 
2366 Then, we will run the @code{dlx_test_behaviour} design. We need to analyse all the design units for the design
2367 hierarchy, in the correct order. GHDL provides an easy way to do this, by @ref{a4,,importing} the
2368 sources: @code{ghdl -i --workdir=work *.vhdl}.
2370 @item 
2371 GHDL knows all the design units of the DLX, but none of them has been analysed. Run the @ref{a5,,make}
2372 command, @code{ghdl -m --workdir=work dlx_test_behaviour}, which analyses and elaborates a design. This creates many
2373 files in the @code{work/} directory, and (GCC/LLVM only) the @code{dlx_test_behaviour} executable in the current
2374 directory.
2375 @end itemize
2377 @cartouche
2378 @quotation Hint 
2379 The simulation needs to have a DLX program contained in the file @code{dlx.out}. This memory image will be loaded
2380 in the DLX memory. Just take one sample: @code{cp test_loop.out dlx.out}.
2381 @end quotation
2382 @end cartouche
2385 @itemize *
2387 @item 
2388 Now, you can @ref{86,,run} the test suite: @code{ghdl -r --workdir=work dlx_test_behaviour}. The test bench
2389 monitors the bus and displays each executed instruction. It finishes with an assertion of severity level note:
2391 @example
2392 dlx-behaviour.vhdl:395:11:(assertion note): TRAP instruction
2393  encountered, execution halted
2394 @end example
2396 @item 
2397 Last, since the clock is still running, you have to manually stop the program with the @code{C-c} key sequence. This
2398 behavior prevents you from running the testbench in batch mode. However, you may force the simulator to stop when an
2399 assertion above or equal a certain severity level occurs. To do so, call run with this option instead:
2400 @code{ghdl -r --workdir=work dlx_test_behaviour --assert-level=note`}. With @ref{a6,,--assert-level}, the program stops
2401 just after the previous message:
2403 @example
2404 dlx-behaviour.vhdl:395:11:(assertion note): TRAP instruction
2405  encountered, execution halted
2406 error: assertion failed
2407 @end example
2408 @end itemize
2410 @cartouche
2411 @quotation Tip 
2412 If you want to make room on your hard drive, you can either:
2415 @itemize *
2417 @item 
2418 @ref{a7,,Clean} the design library with @code{ghdl --clean --workdir=work}. This removes the executable
2419 and all the object files. If you want to rebuild the design at this point, just do the make command as shown above.
2421 @item 
2422 @ref{a8,,Remove} the design library with @code{ghdl --remove --workdir=work}. This removes the
2423 executable, all the object files and the library file. If you want to rebuild the design, you have to import the
2424 sources again and make the design.
2426 @item 
2427 Remove the @code{work/} directory: @code{rm -rf work}. Only the executable is kept. If you want to rebuild the design, create the @code{work/} directory, import the sources, and make the design.
2428 @end itemize
2429 @end quotation
2430 @end cartouche
2432 @cartouche
2433 @quotation Warning 
2434 Sometimes, a design does not fully follow the VHDL standards. For example it might use the badly engineered @code{std_logic_unsigned} package. GHDL supports this VHDL dialect through some options: @ref{89,,--ieee=synopsys}, @ref{8a,,-fexplicit}, etc. See section @ref{6d,,IEEE library pitfalls}, for more details.
2435 @end quotation
2436 @end cartouche
2438 @cartouche
2439 @quotation Tip 
2440 See Learning VHDL with GHDL@footnote{https://github.com/ghdl/ghdl/issues/1291}.
2441 @end quotation
2442 @end cartouche
2444 @c # Load pre-defined aliases and graphical characters like © from docutils
2445 @c # <file> is used to denote the special path
2446 @c # <Python>\Lib\site-packages\docutils\parsers\rst\include
2448 @c This data file has been placed in the public domain.
2450 @c Derived from the Unicode character mappings available from
2451 @c <http://www.w3.org/2003/entities/xml/>.
2452 @c Processed by unicode2rstsubs.py, part of Docutils:
2453 @c <http://docutils.sourceforge.net>.
2455 @c This data file has been placed in the public domain.
2457 @c Derived from the Unicode character mappings available from
2458 @c <http://www.w3.org/2003/entities/xml/>.
2459 @c Processed by unicode2rstsubs.py, part of Docutils:
2460 @c <http://docutils.sourceforge.net>.
2462 @c # define a hard line break for HTML
2464 @node Python Interfaces,,Simulation,Quick Start Guide
2465 @anchor{quick_start/python/index doc}@anchor{a9}@anchor{quick_start/python/index python-interfaces}@anchor{aa}@anchor{quick_start/python/index using-quickstart-python}@anchor{ab}
2466 @section Python Interfaces
2469 Currently, pyGHDL is not distributed through PyPI. Therefore, users need to install it from the git repository. However, the
2470 version of the sources must be compatible with the installed version of GHDL (and the shared library @code{libghdl}).
2471 Installing from @code{master} is discouraged, because it might contain changes to the internal AST. Instead, @code{ghdl version hash}
2472 allows getting the commit hash of the version the installed binary was built from. Since @code{pip} allows installing packages
2473 by providing the URL to the git repo, this is the recommended installation procedure:
2475 @example
2476 pip install git+https://github.com/ghdl/ghdl.git@@$(ghdl version hash)
2477 @end example
2479 @menu
2480 * Language Server:: 
2482 @end menu
2484 @node Language Server,,,Python Interfaces
2485 @anchor{quick_start/python/index cmdref}@anchor{ac}@anchor{quick_start/python/index language-server}@anchor{ad}
2486 @subsection Language Server
2489 When installed through @code{pip}, pyGHDL provides executable entrypoints registered in the search PATH, such as @code{ghdl-ls}.
2491 @c #
2492 @c This files requires a Python module called 'AutoProgram' to be located in the
2493 @c 'doc' root folder. It expects a variable 'parser' of type ArgumentParser.
2495 @menu
2496 * ghdl-ls:: 
2498 @end menu
2500 @node ghdl-ls,,,Language Server
2501 @anchor{quick_start/python/index cmdref-ghdlls}@anchor{ae}@anchor{quick_start/python/index ghdl-ls}@anchor{af}
2502 @subsubsection ghdl-ls
2505 VHDL Language Protocol Server. Find info about clients in ghdl/ghdl-language-server@footnote{https://github.com/ghdl/ghdl-language-server}.
2507 @example
2508 usage: ghdl-ls [-h] [--version] [--verbose] [--log-file LOG_FILE]
2509                [--trace-file TRACE_FILE] [--input INPUT] [--disp-config]
2510 @end example
2512 @menu
2513 * optional arguments:: 
2515 @end menu
2517 @node optional arguments,,,ghdl-ls
2518 @anchor{quick_start/python/index optional-arguments}@anchor{b0}
2519 @subsubsection optional arguments
2522 @geindex ghdl-ls command line option; -h
2523 @geindex ghdl-ls command line option; --help
2524 @anchor{quick_start/python/index cmdoption-ghdl-ls-h}@anchor{b1}@anchor{quick_start/python/index cmdoption-ghdl-ls-help}@anchor{b2}
2525 @deffn {Option} @w{-}h, @w{-}@w{-}help
2527 show this help message and exit
2528 @end deffn
2530 @geindex ghdl-ls command line option; --version
2531 @geindex ghdl-ls command line option; -V
2532 @anchor{quick_start/python/index cmdoption-ghdl-ls-version}@anchor{b3}@anchor{quick_start/python/index cmdoption-ghdl-ls-V}@anchor{b4}@anchor{quick_start/python/index cmdoption-ghdl-ls-v}@anchor{b5}
2533 @deffn {Option} @w{-}@w{-}version, @w{-}V
2535 show program’s version number and exit
2536 @end deffn
2538 @geindex ghdl-ls command line option; --verbose
2539 @geindex ghdl-ls command line option; -v
2540 @anchor{quick_start/python/index cmdoption-ghdl-ls-verbose}@anchor{b6}@anchor{quick_start/python/index cmdoption-ghdl-ls-0}@anchor{b7}
2541 @deffn {Option} @w{-}@w{-}verbose, @w{-}v
2543 Show debug output
2544 @end deffn
2546 @geindex ghdl-ls command line option; --log-file
2547 @anchor{quick_start/python/index cmdoption-ghdl-ls-log-file}@anchor{b8}
2548 @deffn {Option} @w{-}@w{-}log@w{-}file <log_file>
2550 Redirect logs to the given file instead of stderr
2551 @end deffn
2553 @geindex ghdl-ls command line option; --trace-file
2554 @anchor{quick_start/python/index cmdoption-ghdl-ls-trace-file}@anchor{b9}
2555 @deffn {Option} @w{-}@w{-}trace@w{-}file <trace_file>
2557 Save RPC data to FILE.in and FILE.out (overrides 
2558 @geindex GHDL_LS_TRACE
2559 @geindex environment variable; GHDL_LS_TRACE
2560 @code{GHDL_LS_TRACE})
2561 @end deffn
2563 @geindex ghdl-ls command line option; --input
2564 @geindex ghdl-ls command line option; -i
2565 @anchor{quick_start/python/index cmdoption-ghdl-ls-input}@anchor{ba}@anchor{quick_start/python/index cmdoption-ghdl-ls-i}@anchor{bb}
2566 @deffn {Option} @w{-}@w{-}input <input>, @w{-}i <input>
2568 Read request from file
2569 @end deffn
2571 @geindex ghdl-ls command line option; --disp-config
2572 @anchor{quick_start/python/index cmdoption-ghdl-ls-disp-config}@anchor{bc}
2573 @deffn {Option} @w{-}@w{-}disp@w{-}config
2575 Display installation configuration and exit
2576 @end deffn
2578 @c # Load pre-defined aliases and graphical characters like © from docutils
2579 @c # <file> is used to denote the special path
2580 @c # <Python>\Lib\site-packages\docutils\parsers\rst\include
2582 @c This data file has been placed in the public domain.
2584 @c Derived from the Unicode character mappings available from
2585 @c <http://www.w3.org/2003/entities/xml/>.
2586 @c Processed by unicode2rstsubs.py, part of Docutils:
2587 @c <http://docutils.sourceforge.net>.
2589 @c This data file has been placed in the public domain.
2591 @c Derived from the Unicode character mappings available from
2592 @c <http://www.w3.org/2003/entities/xml/>.
2593 @c Processed by unicode2rstsubs.py, part of Docutils:
2594 @c <http://docutils.sourceforge.net>.
2596 @c # define a hard line break for HTML
2598 @node Invoking GHDL,Simulation runtime,Quick Start Guide,Top
2599 @anchor{using/InvokingGHDL doc}@anchor{bd}@anchor{using/InvokingGHDL invoking-ghdl}@anchor{be}@anchor{using/InvokingGHDL using-invoking}@anchor{65}
2600 @chapter Invoking GHDL
2603 The form of the @code{ghdl} command is @code{ghdl command [options...]}.
2604 There are multiple available commands, but these general rules apply:
2607 @itemize *
2609 @item 
2610 The first argument selects the command.
2611 The options are used to slightly modify the action.
2613 @item 
2614 No option is allowed before the command.
2615 Except for the run command, no option is allowed after a filename or a unit name.
2616 @end itemize
2618 @cartouche
2619 @quotation Hint 
2620 If the number of options is large and the command line length is beyond the system limit, you can use a response file.
2621 An argument that starts with a @code{@@} is considered as a response file; it is replaced by arguments read from the file
2622 (separated by blanks and end of line).
2623 @end quotation
2624 @end cartouche
2626 @cartouche
2627 @quotation Hint 
2628 Only the most common commands and options are shown here. For the most advanced and experimental features see section
2629 @ref{66,,Additional Command Reference}.
2630 @end quotation
2631 @end cartouche
2633 @cartouche
2634 @quotation Warning 
2635 During analysis and elaboration GHDL may read the @code{std} and @code{ieee} files.
2636 The location of these files is based on the prefix, which is (in order of priority):
2639 @itemize *
2641 @item 
2642 the @ref{bf,,--PREFIX} command line option
2644 @item 
2645 the 
2646 @geindex GHDL_PREFIX
2647 @geindex environment variable; GHDL_PREFIX
2648 @ref{c0,,GHDL_PREFIX} environment variable
2650 @item 
2651 a built-in default path. It is a hard-coded path on GNU/Linux, and it corresponds to the value of the
2652 @code{HKLM\Software\Ghdl\Install_Dir} registry entry on Windows.
2653 @end itemize
2655 You should use the @ref{c1,,--disp-config} command to display and debug installation problems.
2656 @end quotation
2657 @end cartouche
2659 @menu
2660 * Design building commands:: 
2661 * Design rebuilding commands:: 
2662 * Options:: 
2663 * Warnings:: 
2664 * Diagnostics Control:: 
2665 * Library commands:: 
2666 * VPI/VHPI build commands:: 
2667 * IEEE library pitfalls:: 
2669 @end menu
2671 @node Design building commands,Design rebuilding commands,,Invoking GHDL
2672 @anchor{using/InvokingGHDL design-building-commands}@anchor{c2}
2673 @section Design building commands
2676 The most commonly used commands of GHDL are those to analyze and elaborate a design.
2678 @geindex cmd analysis
2680 @menu
2681 * Analysis [-a]:: 
2682 * Elaboration [-e]:: 
2683 * Run [-r]:: 
2684 * Elaborate and run [--elab-run]:: 
2685 * Check syntax [-s]:: 
2686 * Analyze and elaborate [-c]:: 
2688 @end menu
2690 @node Analysis [-a],Elaboration [-e],,Design building commands
2691 @anchor{using/InvokingGHDL analysis-a}@anchor{c3}@anchor{using/InvokingGHDL analysis-command}@anchor{84}
2692 @subsection Analysis [@code{-a}]
2695 @geindex ghdl command line option; -a
2696 @anchor{using/InvokingGHDL cmdoption-ghdl-a}@anchor{c4}
2697 @deffn {Option} @w{-}a <[options...] file...>
2698 @end deffn
2700 Analyzes/compiles one or more files, and creates an object file for each source file.
2701 Any argument starting with a dash is an option, the others are filenames.
2702 No options are allowed after a filename argument.
2703 GHDL analyzes each filename in the given order, and stops the analysis in case of error (remaining files are not
2704 analyzed).
2706 See @ref{c5,,Options}, for details on the GHDL options.
2707 For example, to produce debugging information such as line numbers, use: @code{ghdl -a -g my_design.vhdl}.
2709 @geindex cmd elaboration
2711 @node Elaboration [-e],Run [-r],Analysis [-a],Design building commands
2712 @anchor{using/InvokingGHDL elaboration-command}@anchor{85}@anchor{using/InvokingGHDL elaboration-e}@anchor{c6}
2713 @subsection Elaboration [@code{-e}]
2716 @geindex ghdl command line option; -e
2717 @anchor{using/InvokingGHDL cmdoption-ghdl-e}@anchor{92}
2718 @deffn {Option} @w{-}e <[options...] [library.]top_unit [arch]>
2719 @end deffn
2721 Re-analyzes all the configurations, entities, architectures and package declarations, and creates the default
2722 configurations and the default binding indications according to the LRM rules.
2723 It also generates the list of object files required for the executable.
2724 Then, it links all these files with the runtime library (GRT).
2726 The elaboration command, @ref{92,,-e}, must be followed by a @code{top_unit} name denoting either of:
2728 @quotation
2731 @itemize *
2733 @item 
2734 a configuration unit
2736 @item 
2737 an entity unit
2739 @item 
2740 an entity unit followed by a secondary unit (the name of an architecture unit)
2741 @end itemize
2742 @end quotation
2745 @itemize *
2747 @item 
2748 Name of the top units can be either a simple name (without dots), or the name of a logical library followed by a dot
2749 and a simple name.
2750 The latter is equivalent to using @ref{8c,,--work=NAME} described in @ref{c5,,Options}:
2751 @code{-e <[options...] --work=library top_unit [arch]>}.
2752 It selects the name of the library to be used as @code{WORK}.
2753 See section @ref{c7,,Top entity}, for the restrictions on the root/top unit of a hierarchy.
2755 @item 
2756 Name of the secondary units must be a simple name; they cannot contain any dot.
2757 @end itemize
2759 With regard to the artifacts:
2762 @itemize *
2764 @item 
2765 If the GCC/LLVM backend was enabled during the compilation of GHDL, the elaboration command creates an executable
2766 containing the code of the VHDL sources, the elaboration code and simulation code for executing a design hierarchy.
2767 The executable is created in the current directory and the the filename is the name of the primary unit, or for the
2768 latter case, the concatenation of the name of the primary unit, a dash, and the name of the secondary unit.
2769 Option @code{-o} followed by a filename can override the default executable filename.
2771 @item 
2772 If mcode is used, this command elaborates the design but does not generate anything.
2773 Since the run command also elaborates the design, this can be skipped.
2774 @end itemize
2776 @cartouche
2777 @quotation Warning 
2778 This elaboration command is not a complete elaboration in terms of the VHDL standard.
2779 The actual elaboration is performed at runtime.
2780 Therefore, in order to get a complete VHDL elaboration without running the simulation, @code{ghdl --elab-run --no-run}
2781 is required.
2782 See @ref{c8,,--no-run}.
2783 @end quotation
2784 @end cartouche
2786 @geindex cmd run
2788 @node Run [-r],Elaborate and run [--elab-run],Elaboration [-e],Design building commands
2789 @anchor{using/InvokingGHDL run-command}@anchor{86}@anchor{using/InvokingGHDL run-r}@anchor{c9}
2790 @subsection Run [@code{-r}]
2793 @geindex ghdl command line option; -r
2794 @anchor{using/InvokingGHDL cmdoption-ghdl-r}@anchor{93}
2795 @deffn {Option} @w{-}r <[options...] [library.]top_unit [arch] [simulation_options...]>
2796 @end deffn
2798 Runs/simulates a design.
2799 Two sets of options are accepted, both of them being separated by @code{[library.]top_unit [arch]}.
2800 For the first set, @code{options...}, arguments are the same as for the @ref{85,,elaboration command}.
2801 For the second set, @code{simulation_options...}, arguments are defined in @ref{ca,,Simulation (runtime)}.
2804 @itemize *
2806 @item 
2807 GGC/LLVM: the filename of the executable is determined and it is executed.
2808 Elaboration options are ignored.
2809 You may also directly execute the program.
2810 The executable must be in the current directory.
2812 @item 
2813 mcode: the design is elaborated and the simulation is launched.
2814 As a consequence, you must use the same options used during analysis.
2815 @end itemize
2817 This command exists for three reasons:
2820 @itemize *
2822 @item 
2823 It works with mcode implementation, where the executable code is generated in memory.
2825 @item 
2826 You are using GCC/LLVM, but you don’t need to create the executable program name.
2828 @item 
2829 It is coherent with the @ref{c4,,-a} and @ref{92,,-e} commands.
2830 @end itemize
2832 @geindex cmd elaborate and run
2834 @node Elaborate and run [--elab-run],Check syntax [-s],Run [-r],Design building commands
2835 @anchor{using/InvokingGHDL elab-and-run-command}@anchor{cb}@anchor{using/InvokingGHDL elaborate-and-run-elab-run}@anchor{cc}
2836 @subsection Elaborate and run [@code{--elab-run}]
2839 @geindex ghdl command line option; --elab-run
2840 @anchor{using/InvokingGHDL cmdoption-ghdl-elab-run}@anchor{cd}
2841 @deffn {Option} @w{-}@w{-}elab@w{-}run <[options...] [library.]top_unit [arch] [simulation_options...]>
2842 @end deffn
2844 Acts like the elaboration command followed by the run command.
2845 Note that this command accepts two sets of options.
2846 See @ref{92,,-e}, @ref{93,,-r} and @ref{ca,,Simulation (runtime)}.
2848 @geindex cmd checking syntax
2850 @node Check syntax [-s],Analyze and elaborate [-c],Elaborate and run [--elab-run],Design building commands
2851 @anchor{using/InvokingGHDL check-syntax-s}@anchor{ce}
2852 @subsection Check syntax [@code{-s}]
2855 @geindex ghdl command line option; -s
2856 @anchor{using/InvokingGHDL cmdoption-ghdl-s}@anchor{cf}
2857 @deffn {Option} @w{-}s <[options...] file...>
2858 @end deffn
2860 Analyze files but do not generate code.
2861 This command may be used to check the syntax of files.
2862 It does not update the library.
2864 @geindex cmd analyze and elaborate
2866 @node Analyze and elaborate [-c],,Check syntax [-s],Design building commands
2867 @anchor{using/InvokingGHDL analyze-and-elaborate-c}@anchor{d0}
2868 @subsection Analyze and elaborate [@code{-c}]
2871 @geindex ghdl command line option; -c
2872 @anchor{using/InvokingGHDL cmdoption-ghdl-c}@anchor{d1}
2873 @deffn {Option} @w{-}c <[options...] file... @w{-}<e|r> top_unit [arch]>
2874 @end deffn
2876 @cartouche
2877 @quotation Hint 
2878 With GCC/LLVM, @ref{92,,-e} should be used, and @ref{93,,-r} with mcode.
2879 @end quotation
2880 @end cartouche
2882 The files are first parsed, and then a elaboration is performed, which drives an analysis.
2883 Effectively, analysis and elaboration are combined, but there is no explicit call to @ref{c4,,-a}.
2884 With GCC/LLVM, code is generated during the elaboration.
2885 With mcode, the simulation is launched after the elaboration.
2887 All the units of the files are put into the @cite{work} library.
2888 But, the work library is neither read from disk nor saved.
2889 Therefore, you must give all the files of the @cite{work} library your design needs.
2891 The advantages over the traditional approach (analyze and then elaborate) are:
2894 @itemize *
2896 @item 
2897 The compilation cycle is achieved in one command.
2899 @item 
2900 Since the files are only parsed once, the compilation cycle may be faster.
2902 @item 
2903 You don’t need to know an analysis order.
2905 @item 
2906 This command produces a smaller executable, since unused units and subprograms do not generate code.
2907 @end itemize
2909 @cartouche
2910 @quotation Hint 
2911 However, you should know that most of the time is spent in code generation and the analyze and elaborate command
2912 generates code for all units needed, even units of @code{std} and @code{ieee} libraries.
2913 Therefore, according to the design, the time for this command may be higher than the time for the analyze command
2914 followed by the elaborate command.
2915 @end quotation
2916 @end cartouche
2918 @cartouche
2919 @quotation Warning 
2920 This command is still under development. In case of problems, you should go back to the traditional way.
2921 @end quotation
2922 @end cartouche
2924 @node Design rebuilding commands,Options,Design building commands,Invoking GHDL
2925 @anchor{using/InvokingGHDL design-rebuilding-commands}@anchor{d2}
2926 @section Design rebuilding commands
2929 Analyzing and elaborating a design consisting of several files can be tricky, due to dependencies.
2930 GHDL has a few commands for rebuilding a design.
2932 @geindex cmd importing files
2934 @menu
2935 * Import [-i]:: 
2936 * Elab-order [--elab-order]:: 
2937 * Make [-m]:: 
2938 * Generate Makefile [--gen-makefile]:: 
2939 * Generate dependency file command [--gen-depends]:: 
2941 @end menu
2943 @node Import [-i],Elab-order [--elab-order],,Design rebuilding commands
2944 @anchor{using/InvokingGHDL import-command}@anchor{a4}@anchor{using/InvokingGHDL import-i}@anchor{d3}
2945 @subsection Import [@code{-i}]
2948 @geindex ghdl command line option; -i
2949 @anchor{using/InvokingGHDL cmdoption-ghdl-i}@anchor{d4}
2950 @deffn {Option} @w{-}i <[options...] file...>
2951 @end deffn
2953 All the files specified in the command line are scanned, parsed and added into the libraries but as not yet analyzed.
2954 No object files are created.
2955 Its purpose is to localize design units in the design files.
2956 The make command will then be able to recursively build a hierarchy from an entity name or a configuration name.
2958 @cartouche
2959 @quotation Hint 
2961 @itemize *
2963 @item 
2964 Note that all the files are added to the work library.
2965 If you have many libraries, you must use the command for each library.
2967 @item 
2968 Since the files are parsed, there must be correct files.
2969 However, since they are not analyzed, many errors are tolerated by this command.
2970 @end itemize
2971 @end quotation
2972 @end cartouche
2974 See @ref{d5,,-m}, to actually build the design.
2975 See also @ref{d6,,--elab-order}, for retrieving the compilation order guessed recursively.
2977 @geindex cmd elaboration order
2979 @node Elab-order [--elab-order],Make [-m],Import [-i],Design rebuilding commands
2980 @anchor{using/InvokingGHDL elab-order-elab-order}@anchor{d7}
2981 @subsection Elab-order [@code{--elab-order}]
2984 @geindex ghdl command line option; --elab-order
2985 @anchor{using/InvokingGHDL cmdoption-ghdl-elab-order}@anchor{d6}
2986 @deffn {Option} @w{-}@w{-}elab@w{-}order <[options...] [libray.]top_unit [arch]>
2987 @end deffn
2989 Print the list of sources required for elaborating a unit, in order
2990 for them to be analyzed without dependency issues.  This is expected
2991 to be used after @ref{d4,,-i}, or for retrieving the order for some
2992 unit analyzed through third-party scripts.
2994 With the @code{--libraries} option, each line contains first the
2995 library name followed by the file name.
2997 Without the @code{--libraries} option, the list does not include
2998 information about the logical library names where each source needs
2999 to be analyzed.  Hence, it is useful when all sources belong
3000 to the same @code{WORK} library.
3002 @geindex cmd make
3004 @node Make [-m],Generate Makefile [--gen-makefile],Elab-order [--elab-order],Design rebuilding commands
3005 @anchor{using/InvokingGHDL make-command}@anchor{a5}@anchor{using/InvokingGHDL make-m}@anchor{d8}
3006 @subsection Make [@code{-m}]
3009 @geindex ghdl command line option; -m
3010 @anchor{using/InvokingGHDL cmdoption-ghdl-m}@anchor{d5}
3011 @deffn {Option} @w{-}m <[options...] [library.]top_unit [arch]>
3012 @end deffn
3014 Analyze automatically outdated files and elaborate a design.
3015 The primary unit denoted by the @code{[library.]top_unit} argument must already be known by the system, either because you
3016 have already analyzed it (even if you have modified it) or because you have imported it.
3017 A file may be outdated because it has been modified (e.g. you have just edited it), or because a design unit contained
3018 in the file depends on a unit which is outdated.
3019 This rule is recursive.
3022 @itemize *
3024 @item 
3025 With option @code{--bind}, GHDL will stop before the final linking step.
3026 This is useful when the main entry point is not GHDL and you’re linking GHDL object files into a foreign program.
3028 @item 
3029 With option @code{-f} (force), GHDL analyzes all the units of the work library needed to create the design hierarchy.
3030 Outdated units are recompiled.
3031 This is useful if you want to compile a design hierarchy with new compilation flags (for example, to add the @code{-g}
3032 debugging option).
3033 @end itemize
3035 @cartouche
3036 @quotation Important 
3037 The make command will only re-analyze design units in the work library.
3038 GHDL fails if it has to analyze an outdated unit from another library.
3039 @end quotation
3040 @end cartouche
3042 The purpose of this command is to be able to compile a design without prior knowledge of file order.
3043 In the VHDL model, some units must be analyzed before others (e.g. an entity before its architecture).
3044 It might be a nightmare to analyze a full design of several files if you don’t have the ordered list of files.
3045 This command computes an analysis order.
3047 The make command fails when a unit was not previously parsed.
3048 For example, if you split a file containing several design units into several files, you must either import these new
3049 files or analyze them so that GHDL knows in which file these units are.
3051 The make command imports files which have been modified.
3052 Then, a design hierarchy is internally built as if no units are outdated.
3053 Then, all outdated design units, using the dependencies of the design hierarchy, are analyzed.
3054 If necessary, the design hierarchy is elaborated.
3056 @cartouche
3057 @quotation Hint 
3058 This is not perfect, since the default architecture (the most recently analyzed one) may change while outdated design
3059 files are analyzed.
3060 In such a case, re-run the make command of GHDL.
3061 @end quotation
3062 @end cartouche
3064 @geindex cmd generate makefile
3066 @node Generate Makefile [--gen-makefile],Generate dependency file command [--gen-depends],Make [-m],Design rebuilding commands
3067 @anchor{using/InvokingGHDL generate-makefile-gen-makefile}@anchor{d9}
3068 @subsection Generate Makefile [@code{--gen-makefile}]
3071 @geindex ghdl command line option; --gen-makefile
3072 @anchor{using/InvokingGHDL cmdoption-ghdl-gen-makefile}@anchor{da}
3073 @deffn {Option} @w{-}@w{-}gen@w{-}makefile <[options...] [library.]top_unit [arch]>
3074 @end deffn
3076 This command works like the make command (see @ref{d5,,-m}), but only a makefile is generated on the standard output.
3078 @geindex --gen-depends command
3080 @node Generate dependency file command [--gen-depends],,Generate Makefile [--gen-makefile],Design rebuilding commands
3081 @anchor{using/InvokingGHDL generate-dependency-file-command-gen-depends}@anchor{db}
3082 @subsection Generate dependency file command [@code{--gen-depends}]
3085 @geindex ghdl command line option; --gen-depends
3086 @anchor{using/InvokingGHDL cmdoption-ghdl-gen-depends}@anchor{dc}
3087 @deffn {Option} @w{-}@w{-}gen@w{-}depends <[options...] [library.]top_unit [arch]>
3088 @end deffn
3090 Generate a Makefile containing only dependencies to build a design unit.
3092 This command works like the make and gen-makefile commands (see @ref{d5,,-m}), but instead of a full makefile only
3093 dependencies without rules are generated on the standard output.
3094 Theses rules can then be integrated in another Makefile.
3096 @node Options,Warnings,Design rebuilding commands,Invoking GHDL
3097 @anchor{using/InvokingGHDL ghdl-options}@anchor{c5}@anchor{using/InvokingGHDL options}@anchor{dd}
3098 @section Options
3101 @geindex IEEE 1164
3103 @geindex 1164
3105 @geindex IEEE 1076.3
3107 @geindex 1076.3
3109 @geindex WORK library
3111 @geindex ghdl command line option; --work
3112 @anchor{using/InvokingGHDL cmdoption-ghdl-work}@anchor{8c}
3113 @deffn {Option} @w{-}@w{-}work=<LIB_NAME>
3115 Specify the name of the @code{WORK} library. Analyzed units are always placed in the library logically named @code{WORK}.
3116 With this option, you can set its name.
3117 By default, the name is @code{work}.
3119 @cite{GHDL} checks whether @code{WORK} is a valid identifier.
3120 Although being more or less supported, the @code{WORK} identifier should not be an extended identifier, since the
3121 filesystem may prevent it from working correctly (due to case sensitivity or forbidden characters in filenames).
3123 @cartouche
3124 @quotation Attention 
3125 @cite{VHDL} rules forbid you from adding units to the @code{std} library.
3126 Furthermore, you should not put units in the @code{ieee} library.
3127 @end quotation
3128 @end cartouche
3130 @cartouche
3131 @quotation Hint 
3132 Since 849a25e0@footnote{https://github.com/ghdl/ghdl/commit/849a25e02cfb359e3d9313060156b0643495548b}, this option can
3133 be alternatively provided to several commands by prepending the library name to the top unit name.
3134 See, for instance, @ref{92,,-e}.
3135 @end quotation
3136 @end cartouche
3137 @end deffn
3139 @geindex ghdl command line option; --workdir
3140 @anchor{using/InvokingGHDL cmdoption-ghdl-workdir}@anchor{a3}
3141 @deffn {Option} @w{-}@w{-}workdir=<DIR>
3143 Specify the directory where the @code{WORK} library is located.
3144 When this option is not present, the @code{WORK} library is in the current directory.
3145 The object files created by the compiler are always placed in the same directory as the @code{WORK} library.
3147 Use option @ref{8d,,-P} to specify where libraries other than @code{WORK} are placed.
3148 @end deffn
3150 @geindex ghdl command line option; --std
3151 @anchor{using/InvokingGHDL cmdoption-ghdl-std}@anchor{88}
3152 @deffn {Option} @w{-}@w{-}std=<STANDARD>
3154 Specify the standard to use. By default, the standard is @code{93c}, which means VHDL-93 with relaxed rules.
3155 For details on @code{STANDARD} values see section @ref{87,,VHDL standards}.
3157 @cartouche
3158 @quotation Important 
3159 This option resets the effect of @ref{de,,-frelaxed}, so it should be the first option.
3160 @end quotation
3161 @end cartouche
3162 @end deffn
3164 @geindex ghdl command line option; -fsynopsys
3165 @anchor{using/InvokingGHDL cmdoption-ghdl-fsynopsys}@anchor{df}
3166 @deffn {Option} @w{-}fsynopsys
3168 Allow the use of synopsys non-standard packages (@code{std_logic_arith}, @code{std_logic_signed}, @code{std_logic_unsigned},
3169 @code{std_logic_textio}).
3170 These packages are present in the ieee library but without this option it’s an error to use them.
3172 The synopsys packages were created by some companies, and are popular.
3173 However they are not standard packages, and have been placed in the @cite{IEEE} library without the permission from the
3174 @code{ieee}.
3175 @end deffn
3177 @geindex ghdl command line option; --ieee
3178 @anchor{using/InvokingGHDL cmdoption-ghdl-ieee}@anchor{89}
3179 @deffn {Option} @w{-}@w{-}ieee=<IEEE_VAR>
3181 @geindex ieee library
3183 @geindex synopsys library
3185 Select the @code{IEEE} library to use. @code{IEEE_VAR} must be one of:
3188 @table @asis
3190 @item none
3192 Do not supply an @cite{IEEE} library. Any library clause with the @code{IEEE} identifier will fail, unless you have created
3193 your own library with the @cite{IEEE} name.
3195 @item standard
3197 Supply an @cite{IEEE} library containing only packages defined by @code{ieee} standards.
3198 Currently, there are the multivalue logic system package @code{std_logic_1164} defined by IEEE 1164, the synthesis
3199 packages @code{numeric_bit} and @code{numeric_std} defined by IEEE 1076.3, and the @code{vital} packages @code{vital_timing} and
3200 @code{vital_primitives}, defined by IEEE 1076.4.
3201 The version of these packages is defined by the VHDL standard used.
3202 See section @ref{e0,,VITAL packages}, for more details.
3204 @item synopsys
3206 This option is now deprecated.
3207 It is equivalent to @code{--ieee=standard} and @code{-fsynopsys}.
3208 @end table
3210 To avoid errors, you must use the same @cite{IEEE} library for all units of your design, and during elaboration.
3211 @end deffn
3213 @geindex ghdl command line option; -P
3214 @anchor{using/InvokingGHDL cmdoption-ghdl-P}@anchor{8d}@anchor{using/InvokingGHDL cmdoption-ghdl-p}@anchor{e1}
3215 @deffn {Option} @w{-}P<DIRECTORY>
3217 Add @cite{DIRECTORY} to the end of the list of directories to be searched for library files.
3218 A library is searched in @cite{DIRECTORY} and also in @cite{DIRECTORY/LIB/vVV} (where @cite{LIB} is the name of the library and @cite{VV}
3219 the vhdl standard).
3221 The @cite{WORK} library is always searched in the path specified by the @ref{a3,,--workdir} option, or in the current
3222 directory if the latter option is not specified.
3223 @end deffn
3225 @geindex ghdl command line option; -fexplicit
3226 @anchor{using/InvokingGHDL cmdoption-ghdl-fexplicit}@anchor{8a}
3227 @deffn {Option} @w{-}fexplicit
3229 When two operators are overloaded, give preference to the explicit declaration.
3230 This may be used to avoid the most common pitfall of the @code{std_logic_arith} package.
3231 See section @ref{6d,,IEEE library pitfalls}, for an example.
3232 @end deffn
3234 @cartouche
3235 @quotation Warning 
3236 This option is not set by default.
3237 We don’t think this option is a good feature, because it breaks the encapsulation rule.
3238 When set, an operator can be silently overridden in another package.
3239 You’d do better to fix your design and use the @code{numeric_std} package.
3240 @end quotation
3241 @end cartouche
3243 @geindex ghdl command line option; -frelaxed
3244 @anchor{using/InvokingGHDL cmdoption-ghdl-frelaxed}@anchor{de}
3245 @deffn {Option} @w{-}frelaxed
3246 @end deffn
3248 @geindex ghdl command line option; -frelaxed-rules
3249 @anchor{using/InvokingGHDL cmdoption-ghdl-frelaxed-rules}@anchor{8b}
3250 @deffn {Option} @w{-}frelaxed@w{-}rules
3252 @cartouche
3253 @quotation Important 
3254 The effects of this option are reset by @ref{88,,--std}, so it should be placed @emph{after} that option.
3255 @end quotation
3256 @end cartouche
3258 Slightly relax some rules to be compatible with various other simulators or synthesizers:
3261 @itemize *
3263 @item 
3264 VHDL-87 file declarations are accepted;
3266 @item 
3267 Default binding indication rules of VHDL-02 are used. Default binding rules are often used, but they are
3268 particularly obscure before VHDL-02.
3270 @item 
3271 Within an object declaration, allow references to the name (which references the hidden declaration).
3272 This ignores the error in the following code:
3274 @example
3275 package pkg1 is
3276   type state is (state1, state2, state3);
3277 end pkg1;
3279 use work.pkg1.all;
3280 package pkg2 is
3281   constant state1 : state := state1;
3282 end pkg2;
3283 @end example
3285 Some code (such as Xilinx packages) have such constructs, which are invalid.
3287 (The scope of the @code{state1} constant starts at the @cite{constant} keyword.
3288 Because the constant @code{state1} and the enumeration literal @code{state1} are homographs, the enumeration literal is
3289 hidden in the immediate scope of the constant).
3290 @end itemize
3292 This option also relaxes the rules about pure functions.
3293 Violations result in warnings instead of errors.
3294 @end deffn
3296 @geindex ghdl command line option; -fpsl
3297 @anchor{using/InvokingGHDL cmdoption-ghdl-fpsl}@anchor{e2}
3298 @deffn {Option} @w{-}fpsl
3300 Enable parsing of PSL assertions within comments.
3301 See section @ref{e3,,PSL support} for more details.
3302 @end deffn
3304 @geindex ghdl command line option; --mb-comments
3305 @geindex ghdl command line option; -C
3306 @anchor{using/InvokingGHDL cmdoption-ghdl-mb-comments}@anchor{91}@anchor{using/InvokingGHDL cmdoption-ghdl-C}@anchor{e4}
3307 @deffn {Option} @w{-}@w{-}mb@w{-}comments, @w{-}C
3309 Allow UTF8 or multi-bytes chars in a comment.
3311 According to the VHDL standards before 2002, the only characters allowed in a source file (and that includes the
3312 comments) are the graphical characters of the ISO 8859-1 character set.
3313 This is incompatible with comments using UTF-8 or some other encoding.
3314 This option lift this restriction.
3315 @end deffn
3317 @geindex ghdl command line option; --syn-binding
3318 @anchor{using/InvokingGHDL cmdoption-ghdl-syn-binding}@anchor{e5}
3319 @deffn {Option} @w{-}@w{-}syn@w{-}binding
3321 Use synthesizer rules for component binding.
3322 During elaboration, if a component is not bound to an entity using VHDL LRM rules, try to find in any known library an
3323 entity whose name is the same as the component name.
3325 This rule is known as the synthesizer rule.
3327 There are two key points: normal VHDL LRM rules are tried first and entities are searched only in known libraries.
3328 A known library is a library which has been named in your design.
3330 This option is only useful during elaboration.
3331 @end deffn
3333 @geindex ghdl command line option; --format
3334 @anchor{using/InvokingGHDL cmdoption-ghdl-format}@anchor{e6}
3335 @deffn {Option} @w{-}@w{-}format=<FORMAT>
3337 Define the output format of some options, such as @ref{e7,,--pp-html} or @ref{e8,,--xref-html}.
3340 @itemize *
3342 @item 
3343 By default or when @ref{e6,,--format=html2} is specified, generated files follow the HTML 2.0 standard,
3344 and colours are specified with @cite{<FONT>} tags.
3345 However, colours are hard-coded.
3347 @item 
3348 If @ref{e6,,--format=css} is specified, generated files follow the HTML 4.0 standard, and use the CSS-1
3349 file @code{ghdl.css} to specify colours.
3350 This file is generated only if it does not already exist (it is never overwritten) and can be customized by the user
3351 to change colours or appearance.
3352 Refer to a generated file and its comments for more information.
3353 @end itemize
3354 @end deffn
3356 @geindex ghdl command line option; --no-vital-checks
3357 @anchor{using/InvokingGHDL cmdoption-ghdl-no-vital-checks}@anchor{e9}
3358 @deffn {Option} @w{-}@w{-}no@w{-}vital@w{-}checks
3359 @end deffn
3361 @geindex ghdl command line option; --vital-checks
3362 @anchor{using/InvokingGHDL cmdoption-ghdl-vital-checks}@anchor{ea}
3363 @deffn {Option} @w{-}@w{-}vital@w{-}checks
3365 Disable or enable checks of restriction on VITAL units. Checks are enabled by default.
3367 Checks are performed only when a design unit is decorated by a VITAL attribute.
3368 The VITAL attributes are @code{VITAL_Level0} and @code{VITAL_Level1}, both declared in the @code{ieee.VITAL_Timing} package.
3370 Currently, VITAL checks are only partially implemented.
3371 See section @ref{eb,,VHDL restrictions for VITAL} for more details.
3372 @end deffn
3374 @geindex ghdl command line option; --PREFIX
3375 @anchor{using/InvokingGHDL cmdoption-ghdl-PREFIX}@anchor{bf}@anchor{using/InvokingGHDL cmdoption-ghdl-prefix}@anchor{ec}
3376 @deffn {Option} @w{-}@w{-}PREFIX=<PATH>
3378 Use @code{PATH} as the prefix path to find commands and pre-installed (@code{std} and @code{ieee}) libraries.
3379 @end deffn
3381 @geindex ghdl command line option; -v
3382 @anchor{using/InvokingGHDL cmdoption-ghdl-v}@anchor{ed}
3383 @deffn {Option} @w{-}v
3385 Be verbose. For example, for analysis, elaboration and make commands, GHDL displays the commands executed.
3386 @end deffn
3388 @geindex ghdl command line option; -o
3389 @anchor{using/InvokingGHDL cmdoption-ghdl-o}@anchor{ee}
3390 @deffn {Option} @w{-}o=<FNAME>
3392 All the commands that perform a link (@ref{92,,-e}, @ref{cd,,--elab-run}, @ref{ef,,--link}, @ref{d1,,-c},
3393 @ref{d5,,-m}, etc.) support overriding the location and name of the generated artifact.
3394 @end deffn
3396 @geindex ghdl command line option; --time-resolution
3397 @anchor{using/InvokingGHDL cmdoption-ghdl-time-resolution}@anchor{f0}
3398 @deffn {Option} @w{-}@w{-}time@w{-}resolution=<UNIT>
3400 @cartouche
3401 @quotation Attention 
3402 This feature is supported with @emph{mcode} backend only.
3403 It is not possible to support it with either LLVM or GCC backends, because it needs to apply globally.
3404 @end quotation
3405 @end cartouche
3407 Set the base time resolution of the simulation.
3408 This option is supported in commands @ref{c4,,-a} and @ref{93,,-r} only.
3409 Allowed values are @code{auto} (default), @code{fs}, @code{ps}, @code{ns}, @code{us}, @code{ms} or @code{sec}.
3410 With LLVM/GCC, the value is fixed to @code{fs}.
3412 @cartouche
3413 @quotation Hint 
3414 When overriding the time resolution, all the time units that are used in the design must be larger.
3415 Using units below the resolution will produce a failure.
3416 @end quotation
3417 @end cartouche
3418 @end deffn
3420 @node Warnings,Diagnostics Control,Options,Invoking GHDL
3421 @anchor{using/InvokingGHDL warnings}@anchor{f1}
3422 @section Warnings
3425 Some constructions are not erroneous but dubious.
3426 Warnings are diagnostic messages that report such constructions.
3427 Some warnings are reported only during analysis, others during elaboration.
3429 @cartouche
3430 @quotation Hint 
3431 You could disable a warning by using the @code{--warn-no-XXX} or @code{-Wno-XXX} instead of @code{--warn-XXX} or @code{-WXXX}.
3432 @end quotation
3433 @end cartouche
3435 @cartouche
3436 @quotation Hint 
3437 The warnings @code{-Wbinding}, @code{-Wlibrary}, @code{-Wshared}, @code{-Wpure}, @code{-Wspecs}, @code{-Whide}, @code{-Wport} are enabled
3438 by default.
3439 @end quotation
3440 @end cartouche
3442 @geindex ghdl command line option; --warn-library
3443 @anchor{using/InvokingGHDL cmdoption-ghdl-warn-library}@anchor{f2}
3444 @deffn {Option} @w{-}@w{-}warn@w{-}library
3446 Warns if a design unit replaces another design unit with the same name.
3447 @end deffn
3449 @geindex ghdl command line option; --warn-default-binding
3450 @anchor{using/InvokingGHDL cmdoption-ghdl-warn-default-binding}@anchor{f3}
3451 @deffn {Option} @w{-}@w{-}warn@w{-}default@w{-}binding
3453 During analyze, warns if a component instantiation has neither configuration specification nor default binding.
3454 This may be useful if you want to detect during analyze possibly unbound components if you don’t use configuration.
3455 See section @ref{87,,VHDL standards} for more details about default binding rules.
3456 @end deffn
3458 @geindex ghdl command line option; --warn-binding
3459 @anchor{using/InvokingGHDL cmdoption-ghdl-warn-binding}@anchor{f4}
3460 @deffn {Option} @w{-}@w{-}warn@w{-}binding
3462 During elaboration, warns if a component instantiation is not bound (and not explicitly left unbound).
3463 Also warns if a port of an entity is not bound in a configuration specification or in a component configuration.
3464 This warning is enabled by default, since default binding rules are somewhat complex and an unbound component is most
3465 often unexpected.
3467 However, warnings are still emitted if a component instantiation is inside a generate statement.
3468 As a consequence, if you use the conditional generate statement to select a component according to the implementation,
3469 you will certainly get warnings.
3470 @end deffn
3472 @geindex ghdl command line option; --warn-reserved
3473 @anchor{using/InvokingGHDL cmdoption-ghdl-warn-reserved}@anchor{f5}
3474 @deffn {Option} @w{-}@w{-}warn@w{-}reserved
3476 Emit a warning if an identifier is a reserved word in a later VHDL standard.
3477 @end deffn
3479 @geindex ghdl command line option; --warn-nested-comment
3480 @anchor{using/InvokingGHDL cmdoption-ghdl-warn-nested-comment}@anchor{f6}
3481 @deffn {Option} @w{-}@w{-}warn@w{-}nested@w{-}comment
3483 Emit a warning if a @code{/*} appears within a block comment (vhdl 2008).
3484 @end deffn
3486 @geindex ghdl command line option; --warn-parenthesis
3487 @anchor{using/InvokingGHDL cmdoption-ghdl-warn-parenthesis}@anchor{f7}
3488 @deffn {Option} @w{-}@w{-}warn@w{-}parenthesis
3490 Emit a warning in case of weird use of parentheses.
3491 @end deffn
3493 @geindex ghdl command line option; --warn-vital-generic
3494 @anchor{using/InvokingGHDL cmdoption-ghdl-warn-vital-generic}@anchor{f8}
3495 @deffn {Option} @w{-}@w{-}warn@w{-}vital@w{-}generic
3497 Warns if a generic name of a vital entity is not a vital generic name. This
3498 is set by default.
3499 @end deffn
3501 @geindex ghdl command line option; --warn-delayed-checks
3502 @anchor{using/InvokingGHDL cmdoption-ghdl-warn-delayed-checks}@anchor{f9}
3503 @deffn {Option} @w{-}@w{-}warn@w{-}delayed@w{-}checks
3505 Warns for checks that cannot be done during analysis time and are postponed to elaboration time.
3506 This is because not all procedure bodies are available during analysis (either because a package body has not yet been
3507 analysed or because @cite{GHDL} doesn’t read not required package bodies).
3509 These are checks for no wait statements in a procedure called in a sensitized process and checks for pure rules of a
3510 function.
3511 @end deffn
3513 @geindex ghdl command line option; --warn-body
3514 @anchor{using/InvokingGHDL cmdoption-ghdl-warn-body}@anchor{fa}
3515 @deffn {Option} @w{-}@w{-}warn@w{-}body
3517 Emit a warning if a package body which is not required is analyzed. If a package does not declare a subprogram or a
3518 deferred constant, the package does not require a body.
3519 @end deffn
3521 @geindex ghdl command line option; --warn-specs
3522 @anchor{using/InvokingGHDL cmdoption-ghdl-warn-specs}@anchor{fb}
3523 @deffn {Option} @w{-}@w{-}warn@w{-}specs
3525 Emit a warning if an all or others specification does not apply.
3526 @end deffn
3528 @geindex ghdl command line option; --warn-runtime-error
3529 @anchor{using/InvokingGHDL cmdoption-ghdl-warn-runtime-error}@anchor{fc}
3530 @deffn {Option} @w{-}@w{-}warn@w{-}runtime@w{-}error
3532 Emit a warning in case of runtime error that is detected during analysis.
3533 @end deffn
3535 @geindex ghdl command line option; --warn-shared
3536 @anchor{using/InvokingGHDL cmdoption-ghdl-warn-shared}@anchor{fd}
3537 @deffn {Option} @w{-}@w{-}warn@w{-}shared
3539 Emit a warning when a shared variable is declared and its type it not a protected type.
3540 @end deffn
3542 @geindex ghdl command line option; --warn-hide
3543 @anchor{using/InvokingGHDL cmdoption-ghdl-warn-hide}@anchor{fe}
3544 @deffn {Option} @w{-}@w{-}warn@w{-}hide
3546 Emit a warning when a declaration hides a previous hide.
3547 @end deffn
3549 @geindex ghdl command line option; --warn-unused
3550 @anchor{using/InvokingGHDL cmdoption-ghdl-warn-unused}@anchor{ff}
3551 @deffn {Option} @w{-}@w{-}warn@w{-}unused
3553 Emit a warning when a subprogram is never used.
3554 @end deffn
3556 @geindex ghdl command line option; --warn-others
3557 @anchor{using/InvokingGHDL cmdoption-ghdl-warn-others}@anchor{100}
3558 @deffn {Option} @w{-}@w{-}warn@w{-}others
3560 Emit a warning is an @cite{others} choice is not required because all the choices have been explicitly covered.
3561 @end deffn
3563 @geindex ghdl command line option; --warn-pure
3564 @anchor{using/InvokingGHDL cmdoption-ghdl-warn-pure}@anchor{101}
3565 @deffn {Option} @w{-}@w{-}warn@w{-}pure
3567 Emit a warning when a pure rules is violated (like declaring a pure function with access parameters).
3568 @end deffn
3570 @geindex ghdl command line option; --warn-static
3571 @anchor{using/InvokingGHDL cmdoption-ghdl-warn-static}@anchor{102}
3572 @deffn {Option} @w{-}@w{-}warn@w{-}static
3574 Emit a warning when a non-static expression is used at a place where the standard requires a static expression.
3575 @end deffn
3577 @geindex ghdl command line option; --warn-error
3578 @anchor{using/InvokingGHDL cmdoption-ghdl-warn-error}@anchor{103}
3579 @deffn {Option} @w{-}@w{-}warn@w{-}error
3581 When this option is set, warnings are considered as errors.
3582 @end deffn
3584 @node Diagnostics Control,Library commands,Warnings,Invoking GHDL
3585 @anchor{using/InvokingGHDL diagnostics-control}@anchor{104}
3586 @section Diagnostics Control
3589 @geindex ghdl command line option; -fcolor-diagnostics
3590 @anchor{using/InvokingGHDL cmdoption-ghdl-fcolor-diagnostics}@anchor{105}
3591 @deffn {Option} @w{-}fcolor@w{-}diagnostics
3592 @end deffn
3594 @geindex ghdl command line option; -fno-color-diagnostics
3595 @anchor{using/InvokingGHDL cmdoption-ghdl-fno-color-diagnostics}@anchor{106}
3596 @deffn {Option} @w{-}fno@w{-}color@w{-}diagnostics
3598 Control whether diagnostic messages are displayed in color. The default is on when the standard output is a terminal.
3599 @end deffn
3601 @geindex ghdl command line option; -fdiagnostics-show-option
3602 @anchor{using/InvokingGHDL cmdoption-ghdl-fdiagnostics-show-option}@anchor{107}
3603 @deffn {Option} @w{-}fdiagnostics@w{-}show@w{-}option
3604 @end deffn
3606 @geindex ghdl command line option; -fno-diagnostics-show-option
3607 @anchor{using/InvokingGHDL cmdoption-ghdl-fno-diagnostics-show-option}@anchor{108}
3608 @deffn {Option} @w{-}fno@w{-}diagnostics@w{-}show@w{-}option
3610 Control whether the warning option is displayed at the end of warning messages, so that the user can easily know how
3611 to disable it.
3612 @end deffn
3614 @geindex ghdl command line option; -fcaret-diagnostics
3615 @anchor{using/InvokingGHDL cmdoption-ghdl-fcaret-diagnostics}@anchor{109}
3616 @deffn {Option} @w{-}fcaret@w{-}diagnostics
3617 @end deffn
3619 @geindex ghdl command line option; -fno-caret-diagnostics
3620 @anchor{using/InvokingGHDL cmdoption-ghdl-fno-caret-diagnostics}@anchor{10a}
3621 @deffn {Option} @w{-}fno@w{-}caret@w{-}diagnostics
3623 Control whether the source line of the error is displayed with a caret indicating the column of the error.
3624 @end deffn
3626 @node Library commands,VPI/VHPI build commands,Diagnostics Control,Invoking GHDL
3627 @anchor{using/InvokingGHDL library-commands}@anchor{10b}
3628 @section Library commands
3630 @anchor{using/InvokingGHDL create-a-library}@anchor{10c}
3631 @geindex create your own library
3633 A new library is created implicitly, by compiling entities (packages etc.) into it:
3634 @code{ghdl -a --work=my_custom_lib my_file.vhdl}.
3636 A library’s source code is usually stored and compiled into its own directory, that you specify with the
3637 @ref{a3,,--workdir} option:
3638 @code{ghdl -a --work=my_custom_lib --workdir=my_custom_libdir my_custom_lib_srcdir/my_file.vhdl}.
3639 See also the @ref{8d,,-P} command line option.
3641 Furthermore, GHDL provides a few commands which act on a library:
3643 @geindex cmd library directory
3645 @geindex ghdl command line option; --dir
3646 @anchor{using/InvokingGHDL cmdoption-ghdl-dir}@anchor{10d}
3647 @deffn {Option} @w{-}@w{-}dir <[options...] [libs]>
3648 @end deffn
3650 Displays the content of the design libraries (by default the @code{work} library).
3651 All options are allowed, but only a few are meaningful: @ref{8c,,--work}, @ref{a3,,--workdir} and @ref{88,,--std}.
3653 @geindex cmd library clean
3654 @anchor{using/InvokingGHDL clean-command}@anchor{a7}
3655 @geindex ghdl command line option; --clean
3656 @anchor{using/InvokingGHDL cmdoption-ghdl-clean}@anchor{10e}
3657 @deffn {Option} @w{-}@w{-}clean <[options...]>
3658 @end deffn
3660 Try to remove any object, executable or temporary file it could have created.
3661 Source files are not removed.
3662 The library is kept.
3664 @geindex cmd library remove
3665 @anchor{using/InvokingGHDL remove-command}@anchor{a8}
3666 @geindex ghdl command line option; --remove
3667 @anchor{using/InvokingGHDL cmdoption-ghdl-remove}@anchor{10f}
3668 @deffn {Option} @w{-}@w{-}remove <[options...]>
3669 @end deffn
3671 Acts like the clean command but removes the library too.
3672 Note that after removing a design library, the files are not known anymore by GHDL.
3674 @geindex cmd library copy
3676 @geindex ghdl command line option; --copy
3677 @anchor{using/InvokingGHDL cmdoption-ghdl-copy}@anchor{110}
3678 @deffn {Option} @w{-}@w{-}copy <@w{-}@w{-}work=name [options...]>
3679 @end deffn
3681 Make a local copy of an existing library.
3682 This is very useful if you want to add units to the @code{ieee} library:
3684 @example
3685 ghdl --copy --work=ieee --ieee=synopsys
3686 ghdl -a --work=ieee numeric_unsigned.vhd
3687 @end example
3689 @node VPI/VHPI build commands,IEEE library pitfalls,Library commands,Invoking GHDL
3690 @anchor{using/InvokingGHDL vpi-build-commands}@anchor{111}@anchor{using/InvokingGHDL vpi-vhpi-build-commands}@anchor{112}
3691 @section VPI/VHPI build commands
3694 These commands simplify the compile and the link of a user VPI or VHPI module.
3695 They are all wrappers: the arguments are in fact a whole command line that is executed with additional switches.
3696 Currently a unix-like compiler (like @cite{cc}, @cite{gcc} or @cite{clang}) is expected: the additional switches use their syntax.
3697 The only option is @cite{-v} which displays the command before its execution.
3699 @geindex cmd VPI compile
3701 @geindex ghdl command line option; --vpi-compile
3702 @anchor{using/InvokingGHDL cmdoption-ghdl-vpi-compile}@anchor{113}
3703 @deffn {Option} @w{-}@w{-}vpi@w{-}compile <command>
3704 @end deffn
3706 Add an include path to the command and execute it:
3708 @example
3709 ghdl --vpi-compile command
3710 @end example
3712 This will execute:
3714 @example
3715 command -Ixxx/include
3716 @end example
3718 For example, @code{ghdl --vpi-compile gcc -c vpi1.c} executes @code{gcc -c vpi1.c -fPIC -Ixxx/include}.
3719 @anchor{using/InvokingGHDL vpi-link-command}@anchor{114}
3720 @geindex cmd VPI link
3722 @geindex ghdl command line option; --vpi-link
3723 @anchor{using/InvokingGHDL cmdoption-ghdl-vpi-link}@anchor{115}
3724 @deffn {Option} @w{-}@w{-}vpi@w{-}link <command>
3725 @end deffn
3727 Add a library path and name to the command and execute it:
3729 @example
3730 ghdl --vpi-link command
3731 @end example
3733 This will execute:
3735 @example
3736 command -Lxxx/lib -lghdlvpi
3737 @end example
3739 For example, @code{ghdl --vpi-link gcc -o vpi1.vpi vpi1.o} executes @code{gcc -o vpi1.vpi vpi1.o --shared -Lxxx/lib -lghdlvpi}.
3740 @anchor{using/InvokingGHDL vpi-cflags-command}@anchor{116}
3741 @geindex cmd VPI cflags
3743 @geindex ghdl command line option; --vpi-cflags
3744 @anchor{using/InvokingGHDL cmdoption-ghdl-vpi-cflags}@anchor{117}
3745 @deffn {Option} @w{-}@w{-}vpi@w{-}cflags
3746 @end deffn
3748 Display flags added by @ref{113,,--vpi-compile}.
3750 @geindex cmd VPI ldflags
3752 @geindex ghdl command line option; --vpi-ldflags
3753 @anchor{using/InvokingGHDL cmdoption-ghdl-vpi-ldflags}@anchor{118}
3754 @deffn {Option} @w{-}@w{-}vpi@w{-}ldflags
3755 @end deffn
3757 Display flags added by @ref{115,,--vpi-link}.
3759 @geindex cmd VPI include dir
3761 @geindex ghdl command line option; --vpi-include-dir
3762 @anchor{using/InvokingGHDL cmdoption-ghdl-vpi-include-dir}@anchor{119}
3763 @deffn {Option} @w{-}@w{-}vpi@w{-}include@w{-}dir
3764 @end deffn
3766 Display the include directory added by the compile flags.
3768 @geindex cmd VPI library dir
3770 @geindex ghdl command line option; --vpi-library-dir
3771 @anchor{using/InvokingGHDL cmdoption-ghdl-vpi-library-dir}@anchor{11a}
3772 @deffn {Option} @w{-}@w{-}vpi@w{-}library@w{-}dir
3773 @end deffn
3775 Display the library directory added by the link flags.
3777 @geindex ghdl command line option; --vpi-library-dir-unix
3778 @anchor{using/InvokingGHDL cmdoption-ghdl-vpi-library-dir-unix}@anchor{11b}
3779 @deffn {Option} @w{-}@w{-}vpi@w{-}library@w{-}dir@w{-}unix
3780 @end deffn
3782 Display the library directory added by the link flags, forcing UNIX syntax.
3784 @node IEEE library pitfalls,,VPI/VHPI build commands,Invoking GHDL
3785 @anchor{using/InvokingGHDL id1}@anchor{11c}@anchor{using/InvokingGHDL ieee-library-pitfalls}@anchor{6d}
3786 @section IEEE library pitfalls
3789 When you use options @ref{89,,--ieee=synopsys}, the @code{ieee} library contains non standard packages such as
3790 @code{std_logic_arith}.
3791 These packages are not standard because there are not described by an IEEE standard, even if they have been put in the
3792 @cite{IEEE} library.
3793 Furthermore, they are not really de-facto standard, because there are slight differences between the packages of Mentor
3794 and those of Synopsys.
3795 Furthermore, since they are not well thought out, their use has pitfalls.
3796 For example, this description has an error during compilation:
3798 @example
3799 library ieee;
3800 use ieee.std_logic_1164.all;
3802 --  A counter from 0 to 10.
3803 entity counter is
3804   port (val : out std_logic_vector (3 downto 0);
3805         ck : std_logic;
3806         rst : std_logic);
3807 end counter;
3809 library ieee;
3810 use ieee.std_logic_unsigned.all;
3812 architecture bad of counter
3814   signal v : std_logic_vector (3 downto 0);
3815 begin
3816   process (ck, rst)
3817   begin
3818     if rst = '1' then
3819       v <= x"0";
3820     elsif rising_edge (ck) then
3821       if v = "1010" then -- Error
3822         v <= x"0";
3823       else
3824         v <= v + 1;
3825       end if;
3826     end if;
3827   end process;
3829   val <= v;
3830 end bad;
3831 @end example
3833 When you analyze this design, GHDL does not accept it (two long lines have been split for readability):
3835 @example
3836 ghdl -a --ieee=synopsys bad_counter.vhdl
3837 bad_counter.vhdl:13:14: operator "=" is overloaded
3838 bad_counter.vhdl:13:14: possible interpretations are:
3839 ../../libraries/ieee/std_logic_1164.v93:69:5: implicit function "="
3840     [std_logic_vector, std_logic_vector return boolean]
3841 ../../libraries/synopsys/std_logic_unsigned.vhdl:64:5: function "="
3842     [std_logic_vector, std_logic_vector return boolean]
3843 ../translate/ghdldrv/ghdl: compilation error
3844 @end example
3846 Indeed, the @cite{“=”} operator is defined in both packages, and both are visible at the place it is used.
3847 The first declaration is an implicit one, which occurs when the @cite{std_logic_vector} type is declared and is an element to
3848 element comparison.
3849 The second one is an explicit declared function, with the semantics of an unsigned comparison.
3851 With some analysers, the explicit declaration has priority over the implicit declaration, and this design can be
3852 analyzed without error.
3853 However, this is not the rule given by the VHDL LRM, and since GHDL follows these rules, it emits an error.
3855 You can force GHDL to use this rule with the @emph{-fexplicit} option (see @ref{c5,,Options} for further details).
3856 However it is easy to fix this error, by using a selected name:
3858 @example
3859 library ieee;
3860 use ieee.std_logic_unsigned.all;
3862 architecture fixed_bad of counter
3864   signal v : std_logic_vector (3 downto 0);
3865 begin
3866   process (ck, rst)
3867   begin
3868     if rst = '1' then
3869       v <= x"0";
3870     elsif rising_edge (ck) then
3871       if ieee.std_logic_unsigned."=" (v, "1010") then
3872         v <= x"0";
3873       else
3874         v <= v + 1;
3875       end if;
3876     end if;
3877   end process;
3879   val <= v;
3880 end fixed_bad;
3881 @end example
3883 It is better to only use the standard packages defined by IEEE, which provide the same functionalities:
3885 @example
3886 library ieee;
3887 use ieee.numeric_std.all;
3889 architecture good of counter
3891   signal v : unsigned (3 downto 0);
3892 begin
3893   process (ck, rst)
3894   begin
3895     if rst = '1' then
3896       v <= x"0";
3897     elsif rising_edge (ck) then
3898       if v = "1010" then
3899         v <= x"0";
3900       else
3901         v <= v + 1;
3902       end if;
3903     end if;
3904   end process;
3906   val <= std_logic_vector (v);
3907 end good;
3908 @end example
3910 @geindex Math_Real
3912 @geindex Math_Complex
3914 @cartouche
3915 @quotation Hint 
3916 The @code{ieee} math packages (@code{math_real} and @code{math_complex}) provided with @cite{GHDL} are fully compliant with the
3917 @cite{IEEE} standard.
3918 @end quotation
3919 @end cartouche
3921 @c # Load pre-defined aliases and graphical characters like © from docutils
3922 @c # <file> is used to denote the special path
3923 @c # <Python>\Lib\site-packages\docutils\parsers\rst\include
3925 @c This data file has been placed in the public domain.
3927 @c Derived from the Unicode character mappings available from
3928 @c <http://www.w3.org/2003/entities/xml/>.
3929 @c Processed by unicode2rstsubs.py, part of Docutils:
3930 @c <http://docutils.sourceforge.net>.
3932 @c This data file has been placed in the public domain.
3934 @c Derived from the Unicode character mappings available from
3935 @c <http://www.w3.org/2003/entities/xml/>.
3936 @c Processed by unicode2rstsubs.py, part of Docutils:
3937 @c <http://docutils.sourceforge.net>.
3939 @c # define a hard line break for HTML
3941 @node Simulation runtime,Synthesis,Invoking GHDL,Top
3942 @anchor{using/Simulation doc}@anchor{11d}@anchor{using/Simulation simulation-runtime}@anchor{11e}@anchor{using/Simulation using-simulation}@anchor{ca}
3943 @chapter Simulation (runtime)
3946 @menu
3947 * Simulation options:: 
3948 * Export waveforms:: 
3949 * Export hierarchy and references:: 
3951 @end menu
3953 @node Simulation options,Export waveforms,,Simulation runtime
3954 @anchor{using/Simulation id1}@anchor{11f}@anchor{using/Simulation simulation-options}@anchor{a0}
3955 @section Simulation options
3958 In most system environments, it is possible to pass CLI options while
3959 invoking a program. Contrary to most programming languages, there is no
3960 standard method in VHDL to obtain the arguments or to set the exit
3961 status.
3962 However, the GHDL runtime behaviour can be modified with some options:
3965 @itemize -
3967 @item 
3968 It is possible to pass parameters to your design through the generic
3969 interfaces of the top entity.
3971 @item 
3972 It is also possible to stop simulation after a certain time. The exit
3973 status of the simulation is @code{EXIT_SUCCESS} (@code{0}) if the simulation
3974 completes, or @code{EXIT_FAILURE} (@code{1}) in case of error (assertion
3975 failure, overflow or any constraint error).
3976 @end itemize
3978 Here is the list of the most useful options. For further info, see @ref{120,,Debugging}.
3980 @cartouche
3981 @quotation Hint 
3982 Note that these arguments are represented as @code{simulation_options...} in this documentation.
3983 For analysis/elaboration options, see @ref{65,,Invoking GHDL}.
3984 @end quotation
3985 @end cartouche
3987 @geindex ghdl command line option; -gGENERIC
3988 @anchor{using/Simulation cmdoption-ghdl-gGENERIC}@anchor{121}@anchor{using/Simulation cmdoption-ghdl-ggeneric}@anchor{122}
3989 @deffn {Option} @w{-}gGENERIC=VALUE
3991 Set value @cite{VALUE} to generic with name @cite{GENERIC}.
3993 Example:
3995 @example
3996 $ ghdl -r --std=08 my_unit -gDEPTH=12
3997 @end example
3999 @cartouche
4000 @quotation Note 
4001 This is currently a run option; but in the (not near) future it might be deprecated to
4002 become an elaboration option only. As a result, now you can generate a single binary and
4003 execute it multiple times with different arguments. That might not be possible in the future.
4005 As explained in @ref{92,,-e}, performing a complete elaboration in terms of the LRM requires
4006 to get rid of the compile and link model. This is mostly because delaying certain elaboration
4007 steps to the runtime prevents elaboration-time optimisions.
4008 @end quotation
4009 @end cartouche
4011 @cartouche
4012 @quotation Hint 
4013 Currently, GHDL has limited support for generic types in the CLI. It is suggested to use strings or integers. Nonetheless, project JSON-for-VHDL@footnote{https://github.com/Paebbels/JSON-for-VHDL} allows to encode a set of parameters as stringified JSON, and it provides VHDL functions to read specific values from it. It is valid for synthesis.
4014 @end quotation
4015 @end cartouche
4016 @end deffn
4018 @geindex ghdl command line option; --assert-level
4019 @anchor{using/Simulation cmdoption-ghdl-assert-level}@anchor{a6}
4020 @deffn {Option} @w{-}@w{-}assert@w{-}level=<LEVEL>
4022 Select the assertion level at which an assertion violation stops the
4023 simulation. @cite{LEVEL} is the name from the @cite{severity_level}
4024 enumerated type defined in the @cite{standard} package or the
4025 @code{none} name.
4027 By default, only assertion violation of severity level @code{failure}
4028 stops the simulation.
4030 For example, if @cite{LEVEL} was @code{warning}, any assertion violation
4031 with severity level @code{warning}, @code{error} or @code{failure} would
4032 stop simulation, but the assertion violation at the @code{note} severity
4033 level would only display a message.
4035 Option @ref{a6,,--assert-level=none} prevents any
4036 assertion violation from stopping simulation.
4037 @end deffn
4039 @geindex ghdl command line option; --backtrace-severity
4040 @anchor{using/Simulation cmdoption-ghdl-backtrace-severity}@anchor{123}
4041 @deffn {Option} @w{-}@w{-}backtrace@w{-}severity=<LEVEL>
4043 Select the assertion level at which an assertion violation display a
4044 backtrace (if available).
4046 This is useful when the assertion is generated by a function (like
4047 assertions in @code{ieee.numeric_std}) whose location is not very
4048 useful.
4049 @end deffn
4051 @geindex ghdl command line option; --ieee-asserts
4052 @anchor{using/Simulation cmdoption-ghdl-ieee-asserts}@anchor{124}
4053 @deffn {Option} @w{-}@w{-}ieee@w{-}asserts=<POLICY>
4054 @end deffn
4056 @geindex ghdl command line option; --asserts
4057 @anchor{using/Simulation cmdoption-ghdl-asserts}@anchor{125}
4058 @deffn {Option} @w{-}@w{-}asserts=<POLICY>
4060 Select how assertions are handled.  @cite{POLICY} can be @code{enable} (the
4061 default), @code{disable} which disables all assertions and
4062 @code{disable-at-0} which disables only at the start of simulation.
4064 The @code{--ieee-asserts} applies only to assertions from @code{ieee}
4065 package.  This option can be useful to avoid assertion messages from
4066 @code{ieee.numeric_std} (and other @code{ieee} packages).
4068 The @code{--asserts} option applies to all assertions, including those
4069 from the @code{ieee} units.  The behaviour of the latter can be
4070 overridden by using the @code{--ieee-asserts} option after the
4071 @code{--asserts} option.
4072 @end deffn
4074 @geindex ghdl command line option; --stop-time
4075 @anchor{using/Simulation cmdoption-ghdl-stop-time}@anchor{126}
4076 @deffn {Option} @w{-}@w{-}stop@w{-}time=<TIME>
4078 Stop the simulation after @code{TIME}. @code{TIME} is expressed as a time
4079 value, @emph{without} any space. The time is the simulation time, not
4080 the real clock time.
4082 For example:
4084 @example
4085 $ ./my_design --stop-time=10ns
4086 $ ./my_design --stop-time=ps
4087 @end example
4088 @end deffn
4090 @geindex ghdl command line option; --stop-delta
4091 @anchor{using/Simulation cmdoption-ghdl-stop-delta}@anchor{127}
4092 @deffn {Option} @w{-}@w{-}stop@w{-}delta=<N>
4094 Stop the simulation after @cite{N} delta cycles in the same current
4095 time.  The default is 5000.
4097 @geindex display time
4098 @end deffn
4100 @geindex ghdl command line option; --disp-time
4101 @anchor{using/Simulation cmdoption-ghdl-disp-time}@anchor{128}
4102 @deffn {Option} @w{-}@w{-}disp@w{-}time
4104 Display the time and delta cycle number as simulation advances.
4105 @end deffn
4107 @geindex ghdl command line option; --unbuffered
4108 @anchor{using/Simulation cmdoption-ghdl-unbuffered}@anchor{129}
4109 @deffn {Option} @w{-}@w{-}unbuffered
4111 Disable buffering on stdout, stderr and files opened in write or append mode (TEXTIO).
4112 @end deffn
4114 @geindex ghdl command line option; --max-stack-alloc
4115 @anchor{using/Simulation cmdoption-ghdl-max-stack-alloc}@anchor{12a}
4116 @deffn {Option} @w{-}@w{-}max@w{-}stack@w{-}alloc=<N>
4118 Emit an error message in case of allocation on the stack of an
4119 object larger than @cite{N} KB.  Use 0 to disable these checks.
4120 @end deffn
4122 @geindex ghdl command line option; --sdf
4123 @anchor{using/Simulation cmdoption-ghdl-sdf}@anchor{12b}
4124 @deffn {Option} @w{-}@w{-}sdf=<PATH=FILENAME>
4126 Do VITAL annotation on @cite{PATH} with SDF file @code{FILENAME}.
4128 @cite{PATH} is a path of instances, separated with @code{.} or @code{/}.
4129 Any separator can be used. Instances are component instantiation labels,
4130 generate labels or block labels. Currently, you cannot use an indexed name.
4132 Specifying a delay:
4134 @example
4135 --sdf=min=PATH=FILENAME
4136 --sdf=typ=PATH=FILENAME
4137 --sdf=max=PATH=FILENAME
4138 @end example
4140 If the option contains a type of delay, that is @code{min=},
4141 @code{typ=} or @code{max=}, the annotator use respectively minimum,
4142 typical or maximum values. If the option does not contain a type of delay,
4143 the annotator uses the typical delay.
4145 See section @ref{12c,,Backannotation}, for more details.
4146 @end deffn
4148 @geindex ghdl command line option; --vpi
4149 @anchor{using/Simulation cmdoption-ghdl-vpi}@anchor{12d}
4150 @deffn {Option} @w{-}@w{-}vpi=<FILENAME>
4152 Load VPI library. This option can be used multiple times to load different libraries.
4154 Any registration functions in the @code{vlog_startup_routines} array in the library will be called:
4156 @example
4157 void (*vlog_startup_routines[]) () = @{
4158   my_handle_register,
4159   0
4161 @end example
4162 @end deffn
4164 @geindex ghdl command line option; --vpi-trace
4165 @anchor{using/Simulation cmdoption-ghdl-vpi-trace}@anchor{12e}
4166 @deffn {Option} @w{-}@w{-}vpi@w{-}trace[=<FILENAME>]
4168 Trace vpi calls. Trace is printed to @code{FILENAME} if provided, otherwise to stdout.
4169 @end deffn
4171 @geindex ghdl command line option; --vhpi
4172 @anchor{using/Simulation cmdoption-ghdl-vhpi}@anchor{12f}
4173 @deffn {Option} @w{-}@w{-}vhpi=<FILENAME>[:<ENTRYPOINT>]
4175 Load VHPI library. This option can be used multiple times to load different libraries.
4177 If an @code{ENTRYPOINT} registration function is provided, it will be called.
4178 Otherwise, any registration functions in the @code{vhpi_startup_routines} array in the library will be called:
4180 @example
4181 void (*vhpi_startup_routines[])() = @{
4182   register_foreign_app,
4183   register_foreign_func,
4184   0
4186 @end example
4187 @end deffn
4189 @geindex ghdl command line option; --vhpi-trace
4190 @anchor{using/Simulation cmdoption-ghdl-vhpi-trace}@anchor{130}
4191 @deffn {Option} @w{-}@w{-}vhpi@w{-}trace[=<FILENAME>]
4193 Trace vhpi calls. Trace is printed to @code{FILENAME} if provided, otherwise to stdout.
4194 @end deffn
4196 @geindex ghdl command line option; --help
4197 @anchor{using/Simulation cmdoption-ghdl-help}@anchor{131}
4198 @deffn {Option} @w{-}@w{-}help
4200 Display a short description of the options accepted by the runtime library.
4201 @end deffn
4203 @geindex ghdl command line option; --no-run
4204 @anchor{using/Simulation cmdoption-ghdl-no-run}@anchor{c8}
4205 @deffn {Option} @w{-}@w{-}no@w{-}run
4207 Stop the simulation before the first cycle. This option actually elaborates the design, so it will catch any bound error in port maps. See also @ref{92,,-e}.
4209 This may be used with @ref{132,,--disp-tree} to display the tree without simulating the whole design.
4210 @end deffn
4212 @node Export waveforms,Export hierarchy and references,Simulation options,Simulation runtime
4213 @anchor{using/Simulation export-waveforms}@anchor{133}@anchor{using/Simulation export-waves}@anchor{97}
4214 @section Export waveforms
4217 @cartouche
4218 @quotation Note 
4219 All the waveform formats supported by GHDL are also supported by GTKWave@footnote{http://gtkwave.sourceforge.net/}.
4220 @end quotation
4221 @end cartouche
4223 @geindex ghdl command line option; --read-wave-opt
4224 @anchor{using/Simulation cmdoption-ghdl-read-wave-opt}@anchor{134}
4225 @deffn {Option} @w{-}@w{-}read@w{-}wave@w{-}opt=<FILENAME>
4227 Filter signals to be dumped to the wave file according to the wave option file provided.
4229 Here is a description of the wave option file format currently supported
4231 @example
4232 $ version = 1.1  # Optional
4234 # Path format for signals in packages :
4235 my_pkg.global_signal_a
4237 # Path format for signals in entities :
4238 /top/sub/clk
4240 # Dump every signal named reset in first level sub entities of top
4241 /top/*/reset
4243 # Dump every signal named reset in recursive sub entities of top
4244 /top/**/reset
4246 # Dump every signal of sub2 which could be anywhere in the design except
4247 # on the top level
4248 /**/sub2/*
4250 # Dump every signal of sub3 which must be a first level sub entity of the
4251 # top level
4252 /*/sub3/*
4254 # Dump every signal of the first level sub entities of sub3 (but not
4255 # those of sub3)
4256 /**/sub3/*/*
4257 @end example
4258 @end deffn
4260 @geindex ghdl command line option; --write-wave-opt
4261 @anchor{using/Simulation cmdoption-ghdl-write-wave-opt}@anchor{135}
4262 @deffn {Option} @w{-}@w{-}write@w{-}wave@w{-}opt=<FILENAME>
4264 If the wave option file doesn’t exist, creates it with all the signals of the design.
4265 Otherwise throws an error, because it won’t erase an existing file.
4266 @end deffn
4268 @geindex ghdl command line option; --vcd
4269 @anchor{using/Simulation cmdoption-ghdl-vcd}@anchor{99}
4270 @deffn {Option} @w{-}@w{-}vcd=<FILENAME>
4271 @end deffn
4273 @geindex ghdl command line option; --vcdgz
4274 @anchor{using/Simulation cmdoption-ghdl-vcdgz}@anchor{9a}
4275 @deffn {Option} @w{-}@w{-}vcdgz=<FILENAME>
4277 @geindex vcd
4279 @geindex value change dump
4281 @geindex dump of signals
4283 Option @ref{99,,--vcd} dumps into the VCD file @cite{FILENAME} the signal values before each non-delta cycle.
4284 If @cite{FILENAME} is @code{-}, then the standard output is used, otherwise a file is created or overwritten.
4286 The @ref{9a,,--vcdgz} option is the same as the @ref{99,,--vcd} option, but the output is compressed using the @cite{zlib}
4287 (@cite{gzip} compression).
4288 However, you can’t use the @code{-} filename.
4289 Furthermore, only one VCD file can be written.
4291 @emph{VCD} (value change dump) is a file format defined by the @cite{verilog} standard and used by virtually any wave
4292 viewer.
4293 Since it comes from @cite{verilog}, only a few VHDL types can be dumped.
4294 GHDL dumps only signals whose base type is of the following:
4297 @itemize *
4299 @item 
4300 types defined in the @code{std.standard} package:
4303 @itemize *
4305 @item 
4306 @code{bit}
4308 @item 
4309 @code{bit_vector}
4310 @end itemize
4312 @item 
4313 types defined in the @code{ieee.std_logic_1164} package:
4316 @itemize *
4318 @item 
4319 @code{std_ulogic}
4321 @item 
4322 @code{std_logic} (because it is a subtype of @code{std_ulogic})
4324 @item 
4325 @code{std_ulogic_vector}
4327 @item 
4328 @code{std_logic_vector}
4329 @end itemize
4331 @item 
4332 any integer type
4333 @end itemize
4335 @cartouche
4336 @quotation Note 
4337 It is very unfortunate there is no standard or well-known wave file format supporting VHDL types.
4338 If you are aware of such a free format, please @ref{6a,,let us know}!
4339 @end quotation
4340 @end cartouche
4341 @end deffn
4343 @geindex ghdl command line option; --vcd-nodate
4344 @anchor{using/Simulation cmdoption-ghdl-vcd-nodate}@anchor{136}
4345 @deffn {Option} @w{-}@w{-}vcd@w{-}nodate
4347 Do not write date in the VCD file.
4348 @end deffn
4350 @geindex ghdl command line option; --vcd-4states
4351 @anchor{using/Simulation cmdoption-ghdl-vcd-4states}@anchor{137}
4352 @deffn {Option} @w{-}@w{-}vcd@w{-}4states
4354 Only use the verilog states @code{0/1/x/z} to represent @code{std_ulogic}
4355 values.  The VCD file produced should be fully compatible with any
4356 VCD reader.  The default is to write @code{std_ulogic} as they are (so
4357 keeping states @code{U/W/L/H/-}), which is supported by several VCD readers.
4358 @end deffn
4360 @geindex ghdl command line option; --fst
4361 @anchor{using/Simulation cmdoption-ghdl-fst}@anchor{9b}
4362 @deffn {Option} @w{-}@w{-}fst=<FILENAME>
4364 Write the waveforms into an @cite{fst} file.
4365 The @cite{fst} files are much smaller than VCD or @cite{GHW} files, but it handles only the same signals as the VCD format.
4366 @end deffn
4368 @geindex ghdl command line option; --wave
4369 @anchor{using/Simulation cmdoption-ghdl-wave}@anchor{98}
4370 @deffn {Option} @w{-}@w{-}wave=<FILENAME>
4372 Write the waveforms into a @ref{4a,,GHDL Waveform (GHW)} file.
4373 Contrary to VCD files, any VHDL type can be dumped into a GHW file.
4374 @end deffn
4376 @node Export hierarchy and references,,Export waveforms,Simulation runtime
4377 @anchor{using/Simulation export-hierarchy-and-references}@anchor{138}
4378 @section Export hierarchy and references
4381 @geindex ghdl command line option; --disp-tree
4382 @anchor{using/Simulation cmdoption-ghdl-disp-tree}@anchor{132}
4383 @deffn {Option} @w{-}@w{-}disp@w{-}tree=<KIND>
4385 @geindex display design hierarchy
4387 Display the design hierarchy as a tree of instantiated design entities.
4388 This may be useful to understand the structure of a complex design.
4389 @cite{KIND} is optional, but if set must be one of:
4392 @itemize *
4394 @item 
4395 @code{none} Do not display hierarchy. Same as if the option was not present.
4397 @item 
4398 @code{inst} Display entities, architectures, instances, blocks and generates statements.
4400 @item 
4401 @code{proc} Like @code{inst} but also display processes.
4403 @item 
4404 @code{port} Like @code{proc} but display ports and signals too.
4405 If @cite{KIND} is not specified, the hierarchy is displayed with the @code{port} mode.
4406 @end itemize
4407 @end deffn
4409 @geindex ghdl command line option; --xref-html
4410 @anchor{using/Simulation cmdoption-ghdl-xref-html}@anchor{e8}
4411 @deffn {Option} @w{-}@w{-}xref@w{-}html [options] files...
4413 To easily navigate through your sources, you may generate cross-references.
4414 This command generates an html file for each @code{file} given in the command line, with syntax highlighting and full
4415 cross-reference: every identifier is a link to its declaration.
4416 An index of the files is created too.
4418 The set of @code{files} are analyzed, and then, if the analysis is successful, html files are generated in the directory
4419 specified by the @code{-o <DIR>} option, or @code{html/} directory by default.
4420 The style of the html file can be modified with the @ref{e6,,--format} option.
4421 @end deffn
4423 @geindex ghdl command line option; --psl-report
4424 @anchor{using/Simulation cmdoption-ghdl-psl-report}@anchor{139}
4425 @deffn {Option} @w{-}@w{-}psl@w{-}report=<FILENAME>
4427 Write a report for PSL at the end of simulation.
4428 For each PSL cover and assert statements, the name, source location and whether it passed or failed is reported.
4429 The file is written using the JSON format, but is still human readable.
4430 @end deffn
4432 @geindex ghdl command line option; --psl-report-uncovered
4433 @anchor{using/Simulation cmdoption-ghdl-psl-report-uncovered}@anchor{13a}
4434 @deffn {Option} @w{-}@w{-}psl@w{-}report@w{-}uncovered
4436 Reports warning for each uncovered PSL cover point when simulation ends.
4437 @end deffn
4439 @c # Load pre-defined aliases and graphical characters like © from docutils
4440 @c # <file> is used to denote the special path
4441 @c # <Python>\Lib\site-packages\docutils\parsers\rst\include
4443 @c This data file has been placed in the public domain.
4445 @c Derived from the Unicode character mappings available from
4446 @c <http://www.w3.org/2003/entities/xml/>.
4447 @c Processed by unicode2rstsubs.py, part of Docutils:
4448 @c <http://docutils.sourceforge.net>.
4450 @c This data file has been placed in the public domain.
4452 @c Derived from the Unicode character mappings available from
4453 @c <http://www.w3.org/2003/entities/xml/>.
4454 @c Processed by unicode2rstsubs.py, part of Docutils:
4455 @c <http://docutils.sourceforge.net>.
4457 @c # define a hard line break for HTML
4459 @node Synthesis,Additional Command Reference,Simulation runtime,Top
4460 @anchor{using/Synthesis doc}@anchor{13b}@anchor{using/Synthesis synthesis}@anchor{13c}@anchor{using/Synthesis using-synthesis}@anchor{49}
4461 @chapter Synthesis
4464 @cartouche
4465 @quotation Warning 
4466 This is experimental and work in progress! If you find crashes or unsupported features, please
4467 @ref{6a,,report them}!
4468 @end quotation
4469 @end cartouche
4471 Since @code{v0.37}, GHDL features a built-in (experimental) synthesis kernel with two backends: @code{synth} and
4472 @code{yosys-plugin}.
4473 Currently, synthesis is supported as a front-end of other synthesis and technology mapping tools.
4474 Hence, the netlists generated by GHDL are not optimised.
4476 @geindex synthesis command
4478 @menu
4479 * Synthesis [--synth]:: 
4480 * Synthesis options:: 
4481 * Yosys plugin:: 
4483 @end menu
4485 @node Synthesis [--synth],Synthesis options,,Synthesis
4486 @anchor{using/Synthesis synth-command}@anchor{13d}@anchor{using/Synthesis synthesis-synth}@anchor{13e}
4487 @section Synthesis [@code{--synth}]
4490 This command is useful for checking that a design can be synthesized, before actually running a complete synthesis
4491 tool. In fact, because this is expected to be much faster, it can be used as a frequent check.
4493 Moreover, since GHDL’s front-end supports multiple versions of the standard, but the synthesised netlists are generated
4494 using a subset of VHDL 1993, GHDL’s synthesis features can be used as a preprocessor with tools that do support older
4495 versions of the standard, but which don’t provide the most recent features.
4497 Currently, the default output is a generic netlist using a (very simple) subset of VHDL 1993.
4498 See @ref{13f,,--out} and #1174@footnote{https://github.com/ghdl/ghdl/issues/1174} for on-going discussion about other output formats.
4500 @geindex ghdl command line option; --synth
4501 @anchor{using/Synthesis cmdoption-ghdl-synth}@anchor{140}
4502 @deffn {Option} @w{-}@w{-}synth <[options...] [library.]top_unit [arch]>
4504 Elaborates for synthesis the design whose top unit is indicated by @code{[library.]top_unit [arch]}.
4506 @cartouche
4507 @quotation Attention 
4508 All the units must have been analyzed; that is, the artifacts of previously executed @ref{c4,,-a} calls must exist.
4509 @end quotation
4510 @end cartouche
4511 @end deffn
4513 @geindex ghdl command line option; --synth
4514 @anchor{using/Synthesis cmdoption-ghdl-0}@anchor{6b}
4515 @deffn {Option} @w{-}@w{-}synth <[options...] file... @w{-}e [top_unit [arch]]>
4517 Analyses and elaborates for synthesis the files present on the command line only.
4518 Elaboration starts from the top unit indicated by @code{[top_unit [arch]]}.
4519 If no top unit is specified, GHDL will try guessing it and a note will be printed.
4521 @cartouche
4522 @quotation Important 
4523 Files can be provided in any order, but @code{-e} must be provided after them.
4524 That is, @code{--synth <[options...] files...>} is NOT supported.
4525 This is because we want to unambiguously tell files and the unit specification apart.
4526 We don’t want to rely on parsing the items and guessing whether we are dealing with files or a top unit name.
4527 In corner cases, a filename might exist which matches the name of a primary unit: @code{ghdl synth name}.
4528 @end quotation
4529 @end cartouche
4530 @end deffn
4532 @node Synthesis options,Yosys plugin,Synthesis [--synth],Synthesis
4533 @anchor{using/Synthesis id1}@anchor{141}@anchor{using/Synthesis synthesis-options}@anchor{142}
4534 @section Synthesis options
4537 @cartouche
4538 @quotation Hint 
4539 Multiple pragmas are supported for preventing blocks of code from being synthesized:
4541 @code{-- pragma|synopsys|synthesis (synthesis|translate)( |_)(on|off)}
4543 For example:
4546 @itemize *
4548 @item 
4549 @code{-- pragma translate off}
4551 @item 
4552 @code{-- synthesis translate_on}
4554 @item 
4555 @code{-- synopsys synthesis_off}
4556 @end itemize
4557 @end quotation
4558 @end cartouche
4560 Due to GHDL’s modular architecture (see @ref{143,,Overview}), the synthesis kernel shares the VHDL parsing front-end
4561 with the simulation back-ends.
4562 Hence, available options for synthesis are the same as for analysis and/or simulation elaboration
4563 (see @ref{c5,,Options}).
4564 In addition to those options, there are some synthesis specific options.
4566 @cartouche
4567 @quotation Tip 
4568 Furthermore there are lot of debug options available.
4569 Beware: these debug options should only used for debugging purposes as they aren’t guaranteed to be stable during
4570 development of GHDL’s synthesis feature.
4571 You can find them in the file ghdlsynth.adb@footnote{https://github.com/ghdl/ghdl/blob/master/src/ghdldrv/ghdlsynth.adb} in the procedure @code{Decode_Option()}.
4572 @end quotation
4573 @end cartouche
4575 @geindex ghdl command line option; -gNAME
4576 @anchor{using/Synthesis cmdoption-ghdl-gNAME}@anchor{144}@anchor{using/Synthesis cmdoption-ghdl-gname}@anchor{145}
4577 @deffn {Option} @w{-}gNAME=VALUE
4579 Override top unit generic @cite{NAME} with value @cite{VALUE}.
4580 Similar to the run-time option @ref{121,,-gGENERIC}.
4582 Example:
4584 @example
4585 $ ghdl --synth --std=08 -gDEPTH=12 [library.]top_unit [arch]
4586 @end example
4587 @end deffn
4589 @geindex ghdl command line option; --out
4590 @anchor{using/Synthesis cmdoption-ghdl-out}@anchor{13f}
4591 @deffn {Option} @w{-}@w{-}out=<vhdl|raw@w{-}vhdl|verilog|dot|none|raw|dump>
4594 @itemize *
4596 @item 
4597 @strong{vhdl} @emph{(default)}: equivalent to @code{raw-vhdl}, but the original top-level unit is preserved unmodified, so the
4598 synthesized design can be simulated with the same testbench.
4600 @item 
4601 @strong{raw-vhdl}: all statements are converted to a simple VHDL 1993
4602 netlist, for allowing instantiation in other synthesis tools
4603 without modern VHDL support.
4605 @item 
4606 @strong{verilog}: generate a verilog netlist.
4608 @item 
4609 @strong{dot}: generate a graphviz dot diagram of the netlist AST.
4611 @item 
4612 @strong{none}: perform the synthesis, but do not generate any output; useful for frequent checks.
4614 @item 
4615 @strong{raw}: print the internal representation of the design, for debugging purposes.
4617 @item 
4618 @strong{dump}: similar to @code{raw}, with even more internal details for debugging.
4619 @end itemize
4620 @end deffn
4622 @geindex ghdl command line option; --vendor-library
4623 @anchor{using/Synthesis cmdoption-ghdl-vendor-library}@anchor{146}
4624 @deffn {Option} @w{-}@w{-}vendor@w{-}library=NAME
4626 Any unit from library NAME is a black box.
4628 Example:
4630 @example
4631 $ ghdl --synth --std=08 --vendor-library=vendorlib [library.]top_unit [arch]
4632 @end example
4633 @end deffn
4635 @menu
4636 * Assertions@comma{} PSL and formal verification: Assertions PSL and formal verification. 
4638 @end menu
4640 @node Assertions PSL and formal verification,,,Synthesis options
4641 @anchor{using/Synthesis assertions-psl-and-formal-verification}@anchor{147}
4642 @subsection Assertions, PSL and formal verification
4645 @geindex ghdl command line option; --no-formal
4646 @anchor{using/Synthesis cmdoption-ghdl-no-formal}@anchor{148}
4647 @deffn {Option} @w{-}@w{-}no@w{-}formal
4649 Neither synthesize assert nor PSL.
4651 Example:
4653 @example
4654 $ ghdl --synth --std=08 --no-formal [library.]top_unit [arch]
4655 @end example
4656 @end deffn
4658 @geindex ghdl command line option; --no-assert-cover
4659 @anchor{using/Synthesis cmdoption-ghdl-no-assert-cover}@anchor{149}
4660 @deffn {Option} @w{-}@w{-}no@w{-}assert@w{-}cover
4662 Disable automatic cover PSL assertion activation. If this option isn’t used, GHDL generates
4663 @cite{cover} directives for each @cite{assert} directive (with an implication operator) automatically during synthesis.
4665 Example:
4667 @example
4668 $ ghdl --synth --std=08 --no-assert-cover [library.]top_unit [arch]
4669 @end example
4670 @end deffn
4672 @geindex ghdl command line option; --assert-assumes
4673 @anchor{using/Synthesis cmdoption-ghdl-assert-assumes}@anchor{14a}
4674 @deffn {Option} @w{-}@w{-}assert@w{-}assumes
4676 Treat all PSL asserts like PSL assumes. If this option is used, GHDL generates an @cite{assume} directive
4677 for each @cite{assert} directive during synthesis. This is similar to the @cite{-assert-assumes}
4678 option of Yosys’ read_verilog@footnote{http://www.clifford.at/yosys/cmd_read_verilog.html} command.
4680 Example:
4682 @example
4683 $ ghdl --synth --std=08 --assert-assumes [library.]top_unit [arch]
4684 @end example
4686 As all PSL asserts are treated like PSL assumes, no @cite{cover} directives are automatically generated for them,
4687 regardless of using the @ref{149,,--no-assert-cover} or not.
4688 @end deffn
4690 @geindex ghdl command line option; --assume-asserts
4691 @anchor{using/Synthesis cmdoption-ghdl-assume-asserts}@anchor{14b}
4692 @deffn {Option} @w{-}@w{-}assume@w{-}asserts
4694 Treat all PSL assumes like PSL asserts. If this option is used, GHDL generates an @cite{assert} directive
4695 for each @cite{assume} directive during synthesis. This is similar to the @cite{-assume-asserts}
4696 option of Yosys’ read_verilog@footnote{http://www.clifford.at/yosys/cmd_read_verilog.html} command.
4698 Example:
4700 @example
4701 $ ghdl --synth --std=08 --assume-asserts [library.]top_unit [arch]
4702 @end example
4704 @cite{cover} directives are automatically generated for the resulting asserts (with an implication operator)
4705 if @ref{149,,--no-assert-cover} isn’t used.
4706 @end deffn
4708 @node Yosys plugin,,Synthesis options,Synthesis
4709 @anchor{using/Synthesis synth-plugin}@anchor{6c}@anchor{using/Synthesis yosys-plugin}@anchor{14c}
4710 @section Yosys plugin
4713 ghdl-yosys-plugin@footnote{https://github.com/ghdl/ghdl-yosys-plugin} is a module to use GHDL as a VHDL front-end for Yosys Open Synthesis Suite@footnote{http://www.clifford.at/yosys/}, a framework for optimised synthesis and technology mapping.
4714 Artifacts generated by Yosys can be used in multiple open source and vendor tools to achieve P&R, formal verification,
4715 etc. A relevant feature of combining GHDL and Yosys is that mixed-language (VHDL-Verilog) synthesis with open source
4716 tools is possible.
4718 The command line syntax for this plugin is the same as for @ref{6b,,--synth}, except that the command name (@code{--synth})
4719 is neither required nor supported.
4720 Instead, @code{yosys}, @code{yosys -m ghdl} or @code{yosys -m path/to/ghdl.so} need to be used, depending of how is the plugin
4721 built.
4722 See ghdl/ghdl-yosys-plugin: README@footnote{https://github.com/ghdl/ghdl-yosys-plugin} for building and installation
4723 guidelines.
4725 @cartouche
4726 @quotation Hint 
4727 ghdl-yosys-plugin is a thin layer that converts the internal representation of @ref{6b,,--synth} to Yosys’ C API.
4728 Hence, it is suggested to check the designs with @ref{6b,,--synth} before running synthesis with Yosys.
4729 @end quotation
4730 @end cartouche
4732 @menu
4733 * Convert (V)HDL to other formats: Convert V HDL to other formats. 
4735 @end menu
4737 @node Convert V HDL to other formats,,,Yosys plugin
4738 @anchor{using/Synthesis convert-v-hdl-to-other-formats}@anchor{14d}
4739 @subsection Convert (V)HDL to other formats
4742 Yosys provides @code{write_*} commands for generating output netlists in different formats. Therefore, VHDL and/or Verilog
4743 sources can be converted to EDIF, SMT, BTOR2, etc.
4745 @cartouche
4746 @quotation Hint 
4747 For a comprehensive list of supported output formats (AIGER, BLIF, ILANG, JSON…), check out the
4748 Yosys documentation@footnote{http://www.clifford.at/yosys/documentation.html}.
4749 @end quotation
4750 @end cartouche
4752 @menu
4753 * To Verilog:: 
4754 * To EDIF:: 
4755 * To SMT:: 
4756 * To BTOR2:: 
4757 * To FIRRTL:: 
4758 * To VHDL:: 
4760 @end menu
4762 @node To Verilog,To EDIF,,Convert V HDL to other formats
4763 @anchor{using/Synthesis to-verilog}@anchor{14e}
4764 @subsubsection To Verilog
4767 @example
4768 yosys -m ghdl -p 'ghdl filename.vhdl -e top_unit [arch]; write_verilog filename.v'
4769 @end example
4771 @node To EDIF,To SMT,To Verilog,Convert V HDL to other formats
4772 @anchor{using/Synthesis to-edif}@anchor{14f}
4773 @subsubsection To EDIF
4776 @example
4777 yosys -m ghdl -p 'ghdl filename.vhdl -e top_unit [arch]; write_edif filename.edif'
4778 @end example
4780 @node To SMT,To BTOR2,To EDIF,Convert V HDL to other formats
4781 @anchor{using/Synthesis to-smt}@anchor{150}
4782 @subsubsection To SMT
4785 @example
4786 yosys -m ghdl -p 'ghdl filename.vhdl -e top_unit [arch]; write_smt2 filename.smt2'
4787 @end example
4789 @node To BTOR2,To FIRRTL,To SMT,Convert V HDL to other formats
4790 @anchor{using/Synthesis to-btor2}@anchor{151}
4791 @subsubsection To BTOR2
4794 @example
4795 yosys -m ghdl -p 'ghdl filename.vhdl -e top_unit [arch]; write_btor filename.btor'
4796 @end example
4798 @node To FIRRTL,To VHDL,To BTOR2,Convert V HDL to other formats
4799 @anchor{using/Synthesis to-firrtl}@anchor{152}
4800 @subsubsection To FIRRTL
4803 @example
4804 yosys -m ghdl -p 'ghdl filename.vhdl -e top_unit [arch]; write_firrtl filename.firrtl'
4805 @end example
4807 @node To VHDL,,To FIRRTL,Convert V HDL to other formats
4808 @anchor{using/Synthesis to-vhdl}@anchor{153}
4809 @subsubsection To VHDL
4812 There is work in progress in ghdl/ghdl-yosys-plugin#122@footnote{https://github.com/ghdl/ghdl-yosys-plugin/pull/122} for adding
4813 a @code{write_vhdl} command to Yosys. That is the complement of what ghdl-yosys-plugin provides.
4815 @c # Load pre-defined aliases and graphical characters like © from docutils
4816 @c # <file> is used to denote the special path
4817 @c # <Python>\Lib\site-packages\docutils\parsers\rst\include
4819 @c This data file has been placed in the public domain.
4821 @c Derived from the Unicode character mappings available from
4822 @c <http://www.w3.org/2003/entities/xml/>.
4823 @c Processed by unicode2rstsubs.py, part of Docutils:
4824 @c <http://docutils.sourceforge.net>.
4826 @c This data file has been placed in the public domain.
4828 @c Derived from the Unicode character mappings available from
4829 @c <http://www.w3.org/2003/entities/xml/>.
4830 @c Processed by unicode2rstsubs.py, part of Docutils:
4831 @c <http://docutils.sourceforge.net>.
4833 @c # define a hard line break for HTML
4835 @node Additional Command Reference,Implementation of VHDL,Synthesis,Top
4836 @anchor{using/CommandReference doc}@anchor{154}@anchor{using/CommandReference additional-command-reference}@anchor{155}@anchor{using/CommandReference ref-command}@anchor{66}
4837 @chapter Additional Command Reference
4840 @cartouche
4841 @quotation Hint 
4842 The most common commands and options are shown in section @ref{65,,Invoking GHDL}.
4843 Here the advanced and experimental features are described.
4844 @end quotation
4845 @end cartouche
4847 @menu
4848 * Environment variables:: 
4849 * Misc commands:: 
4850 * File commands:: 
4851 * GCC/LLVM only commands:: 
4852 * Options: Options<2>. 
4853 * Passing options to other programs:: 
4855 @end menu
4857 @node Environment variables,Misc commands,,Additional Command Reference
4858 @anchor{using/CommandReference environment-variables}@anchor{156}
4859 @section Environment variables
4862 @geindex environment variable; GHDL_PREFIX
4863 @anchor{using/CommandReference envvar-GHDL_PREFIX}@anchor{c0}
4864 @deffn {Environment Variable} GHDL_PREFIX
4865 @end deffn
4867 @node Misc commands,File commands,Environment variables,Additional Command Reference
4868 @anchor{using/CommandReference misc-commands}@anchor{157}
4869 @section Misc commands
4872 There are a few GHDL commands which are seldom useful.
4874 @geindex cmd help
4876 @menu
4877 * Help [-h]:: 
4878 * Display config [--disp-config]:: 
4879 * Display standard [--disp-standard]:: 
4880 * Version [--version]:: 
4882 @end menu
4884 @node Help [-h],Display config [--disp-config],,Misc commands
4885 @anchor{using/CommandReference help-h}@anchor{158}
4886 @subsection Help [@code{-h}]
4889 @geindex ghdl command line option; --help
4890 @geindex ghdl command line option; -h
4891 @anchor{using/CommandReference cmdoption-ghdl-help}@anchor{159}@anchor{using/CommandReference cmdoption-ghdl-h}@anchor{15a}
4892 @deffn {Option} @w{-}@w{-}help, @w{-}h
4893 @end deffn
4895 Display (on the standard output) a short description of the all the commands available.
4896 If the help switch is followed by a command switch, then options for that second command are displayed:
4898 @example
4899 ghdl --help
4900 ghdl -h
4901 ghdl -h command
4902 @end example
4904 @geindex cmd display configuration
4906 @node Display config [--disp-config],Display standard [--disp-standard],Help [-h],Misc commands
4907 @anchor{using/CommandReference display-config-disp-config}@anchor{15b}
4908 @subsection Display config [@code{--disp-config}]
4911 @geindex ghdl command line option; --disp-config
4912 @anchor{using/CommandReference cmdoption-ghdl-disp-config}@anchor{c1}
4913 @deffn {Option} @w{-}@w{-}disp@w{-}config <[options]>
4914 @end deffn
4916 Display the program paths and options used by GHDL. This may be useful to track installation errors.
4918 @geindex cmd display standard
4920 @geindex display `@w{`}std.standard`@w{`}
4922 @node Display standard [--disp-standard],Version [--version],Display config [--disp-config],Misc commands
4923 @anchor{using/CommandReference display-standard-disp-standard}@anchor{15c}
4924 @subsection Display standard [@code{--disp-standard}]
4927 @geindex ghdl command line option; --disp-standard
4928 @anchor{using/CommandReference cmdoption-ghdl-disp-standard}@anchor{15d}
4929 @deffn {Option} @w{-}@w{-}disp@w{-}standard <[options]>
4930 @end deffn
4932 Display the @code{std.standard} package.
4934 @geindex cmd version
4936 @node Version [--version],,Display standard [--disp-standard],Misc commands
4937 @anchor{using/CommandReference version-version}@anchor{15e}
4938 @subsection Version [@code{--version}]
4941 @geindex ghdl command line option; --version
4942 @geindex ghdl command line option; -v
4943 @anchor{using/CommandReference cmdoption-ghdl-version}@anchor{15f}@anchor{using/CommandReference cmdoption-ghdl-v}@anchor{160}
4944 @deffn {Option} @w{-}@w{-}version, @w{-}v
4945 @end deffn
4947 Display the GHDL version.
4949 @node File commands,GCC/LLVM only commands,Misc commands,Additional Command Reference
4950 @anchor{using/CommandReference file-commands}@anchor{161}
4951 @section File commands
4954 The following commands act on one or several files.
4955 These are not analyzed, therefore, they work even if a file has semantic errors.
4957 @geindex cmd file format
4959 @menu
4960 * Format [fmt]:: 
4961 * Pretty print [--pp-html]:: 
4962 * Find [-f]:: 
4963 * Chop [--chop]:: 
4964 * Lines [--lines]:: 
4965 * XML tree generation [--file-to-xml]:: 
4967 @end menu
4969 @node Format [fmt],Pretty print [--pp-html],,File commands
4970 @anchor{using/CommandReference format-fmt}@anchor{162}
4971 @subsection Format [@code{fmt}]
4974 @geindex ghdl command line option; fmt
4975 @anchor{using/CommandReference cmdoption-ghdl-arg-fmt}@anchor{163}
4976 @deffn {Option} fmt <file>
4977 @end deffn
4979 Format on the standard output the input file.
4981 @geindex vhdl to html
4983 @node Pretty print [--pp-html],Find [-f],Format [fmt],File commands
4984 @anchor{using/CommandReference pretty-print-pp-html}@anchor{164}
4985 @subsection Pretty print [@code{--pp-html}]
4988 @geindex ghdl command line option; --pp-html
4989 @anchor{using/CommandReference cmdoption-ghdl-pp-html}@anchor{e7}
4990 @deffn {Option} @w{-}@w{-}pp@w{-}html <[options] file...>
4991 @end deffn
4993 The files are just scanned and an html file with syntax highlighting is generated on standard output.
4994 Since the files are not even parsed, erroneous files or incomplete designs can be pretty printed.
4995 The style of the html file can be modified with the @ref{e6,,--format} option.
4997 @geindex cmd file find
4999 @node Find [-f],Chop [--chop],Pretty print [--pp-html],File commands
5000 @anchor{using/CommandReference find-f}@anchor{165}
5001 @subsection Find [@code{-f}]
5004 @geindex ghdl command line option; -f
5005 @anchor{using/CommandReference cmdoption-ghdl-f}@anchor{166}
5006 @deffn {Option} @w{-}f <file...>
5007 @end deffn
5009 The files are scanned, parsed and the names of design units are displayed.
5010 Design units marked with two stars are candidates to be at the apex of a design hierarchy.
5012 @geindex cmd file chop
5014 @node Chop [--chop],Lines [--lines],Find [-f],File commands
5015 @anchor{using/CommandReference chop-chop}@anchor{167}
5016 @subsection Chop [@code{--chop}]
5019 @geindex ghdl command line option; --chop
5020 @anchor{using/CommandReference cmdoption-ghdl-chop}@anchor{168}
5021 @deffn {Option} @w{-}@w{-}chop <files...>
5022 @end deffn
5024 The provided files are read, and a file is written in the current directory for every design unit.
5025 Each filename is built according to the type:
5028 @itemize *
5030 @item 
5031 For an entity declaration, a package declaration, or a configuration the file name is @code{NAME.vhdl}, where @cite{NAME}
5032 is the name of the design unit.
5034 @item 
5035 For a package body, the filename is @code{NAME-body.vhdl}.
5037 @item 
5038 Finally, for an architecture @cite{ARCH} of an entity @cite{ENTITY}, the filename is @code{ENTITY-ARCH.vhdl}.
5039 @end itemize
5041 Since the input files are parsed, this command aborts in case of syntax error.
5042 The command aborts too if a file to be written already exists.
5044 Comments between design units are stored into the most adequate files.
5046 This command may be useful to split big files, if your computer doesn’t have enough memory to compile such files.
5047 The size of the executable is reduced too.
5049 @geindex cmd file lines
5051 @node Lines [--lines],XML tree generation [--file-to-xml],Chop [--chop],File commands
5052 @anchor{using/CommandReference lines-lines}@anchor{169}
5053 @subsection Lines [@code{--lines}]
5056 @geindex ghdl command line option; --lines
5057 @anchor{using/CommandReference cmdoption-ghdl-lines}@anchor{16a}
5058 @deffn {Option} @w{-}@w{-}lines <files...>
5059 @end deffn
5061 Display on the standard output lines of files preceded by line number.
5063 @geindex cmd XML generation
5065 @node XML tree generation [--file-to-xml],,Lines [--lines],File commands
5066 @anchor{using/CommandReference xml-tree-generation-file-to-xml}@anchor{16b}
5067 @subsection XML tree generation [@code{--file-to-xml}]
5070 @geindex ghdl command line option; --file-to-xml
5071 @anchor{using/CommandReference cmdoption-ghdl-file-to-xml}@anchor{16c}
5072 @deffn {Option} @w{-}@w{-}file@w{-}to@w{-}xml
5074 Outputs an XML representation of the decorated syntax tree for the input file and its dependencies.
5075 It can be used for VHDL tooling using semantic information, like style checkers, documentation extraction, complexity
5076 estimation, etc.
5077 @end deffn
5079 @cartouche
5080 @quotation Warning 
5082 @itemize *
5084 @item 
5085 The AST slightly changes from time to time (particularly when new nodes are added for new language features), so be
5086 liberal in what is allowed by your tool.
5087 Also, the XML can be quite large so consider it only during prototyping.
5089 @item 
5090 Note that at this time there is no XML dump of the elaborated design.
5091 @end itemize
5092 @end quotation
5093 @end cartouche
5095 @node GCC/LLVM only commands,Options<2>,File commands,Additional Command Reference
5096 @anchor{using/CommandReference gcc-llvm-only-commands}@anchor{16d}@anchor{using/CommandReference gccllvm-only-programs}@anchor{16e}
5097 @section GCC/LLVM only commands
5100 @geindex cmd GCC/LLVM binding
5102 @menu
5103 * Bind [--bind]:: 
5104 * Link [--link]:: 
5105 * List link [--list-link]:: 
5107 @end menu
5109 @node Bind [--bind],Link [--link],,GCC/LLVM only commands
5110 @anchor{using/CommandReference bind-bind}@anchor{16f}
5111 @subsection Bind [@code{--bind}]
5114 @geindex ghdl command line option; --bind
5115 @anchor{using/CommandReference cmdoption-ghdl-bind}@anchor{170}
5116 @deffn {Option} @w{-}@w{-}bind <[options] [library.]top_unit [arch]>
5117 @end deffn
5119 Performs only the first stage of the elaboration command; the list of object files is created but the executable is not
5120 built.
5121 This command should be used only when the main entry point is not GHDL.
5123 @cartouche
5124 @quotation Hint 
5125 Currently, the objects generated by @ref{170,,--bind} are created in the working directory.
5126 This behaviour is different from other object files generated with @ref{c4,,-a}, which are always placed in the same
5127 directory as the @cite{WORK} library.
5128 It is possible to provide an output path with @code{ghdl --bind -o path/top_unit [library.]top_unit [arch]}.
5129 However, @code{ghdl --list-link} will only search in the current path.
5130 @end quotation
5131 @end cartouche
5133 @geindex cmd GCC/LLVM linking
5135 @node Link [--link],List link [--list-link],Bind [--bind],GCC/LLVM only commands
5136 @anchor{using/CommandReference link-link}@anchor{171}
5137 @subsection Link [@code{--link}]
5140 @geindex ghdl command line option; --link
5141 @anchor{using/CommandReference cmdoption-ghdl-link}@anchor{ef}
5142 @deffn {Option} @w{-}@w{-}link <[options] [library.]top_unit [arch]>
5143 @end deffn
5145 Performs only the second stage of the elaboration command: the executable is created by linking the files of the object
5146 files list.
5147 This command is available only for completeness.
5148 The elaboration command is equivalent to the bind command followed by the link command.
5150 @geindex cmd GCC/LLVM list link
5152 @node List link [--list-link],,Link [--link],GCC/LLVM only commands
5153 @anchor{using/CommandReference list-link-list-link}@anchor{172}
5154 @subsection List link [@code{--list-link}]
5157 @geindex ghdl command line option; --list-link
5158 @anchor{using/CommandReference cmdoption-ghdl-list-link}@anchor{173}
5159 @deffn {Option} @w{-}@w{-}list@w{-}link <[library.]top_unit [arch]>
5160 @end deffn
5162 This command may be used only after a bind command.
5163 GHDL displays all the files which will be linked to create an executable and additional arguments for the linker.
5164 This command is intended to add object files in a link of a foreign program.
5165 This command should be used only after @code{ghdl --bind}, as some files generated by it are looked for in the current path.
5167 @cartouche
5168 @quotation Hint 
5169 One of the arguments returned by @code{--list-link} is @code{-Wl,--version-script=PREFIX/lib/ghdl/grt.ver}, where @cite{PREFIX}
5170 is the installation path of GHDL.
5171 This will hide most of the symbols when the target executable binary is built.
5172 In some contexts, where the binary is to be loaded dynamically, the user might want additional symbols to be
5173 accessible.
5174 There are two possible approaches to have it done:
5177 @itemize *
5179 @item 
5180 Filter the output of @code{--list-link} with e.g. @code{sed}.
5182 @item 
5183 Provide an additional non-anonymous version script: @code{-Wl,-Wl,--version-script=file.ver}.
5184 @end itemize
5185 @end quotation
5186 @end cartouche
5188 @node Options<2>,Passing options to other programs,GCC/LLVM only commands,Additional Command Reference
5189 @anchor{using/CommandReference options}@anchor{174}
5190 @section Options
5193 @geindex ghdl command line option; --GHDL1
5194 @anchor{using/CommandReference cmdoption-ghdl-GHDL1}@anchor{175}@anchor{using/CommandReference cmdoption-ghdl-ghdl1}@anchor{176}
5195 @deffn {Option} @w{-}@w{-}GHDL1<=COMMAND>
5196 @end deffn
5198 Use @code{COMMAND} as the command name for the compiler.
5199 If @code{COMMAND} is not a path, then it is searched in the path.
5201 @geindex ghdl command line option; --AS
5202 @anchor{using/CommandReference cmdoption-ghdl-AS}@anchor{177}@anchor{using/CommandReference cmdoption-ghdl-as}@anchor{178}
5203 @deffn {Option} @w{-}@w{-}AS<=COMMAND>
5204 @end deffn
5206 Use @code{COMMAND} as the command name for the assembler.
5207 If @code{COMMAND} is not a path, then it is searched in the path.
5208 The default is @code{as}.
5210 @geindex ghdl command line option; --LINK
5211 @anchor{using/CommandReference cmdoption-ghdl-LINK}@anchor{179}
5212 @deffn {Option} @w{-}@w{-}LINK<=COMMAND>
5213 @end deffn
5215 Use @code{COMMAND} as the linker driver.
5216 If @code{COMMAND} is not a path, then it is searched in the path.
5217 The default is @code{gcc}.
5219 @node Passing options to other programs,,Options<2>,Additional Command Reference
5220 @anchor{using/CommandReference id1}@anchor{17a}@anchor{using/CommandReference passing-options-to-other-programs}@anchor{17b}
5221 @section Passing options to other programs
5224 @cartouche
5225 @quotation Warning 
5226 These options are only available with GCC/LLVM.
5227 @end quotation
5228 @end cartouche
5230 For many commands, GHDL acts as a driver: it invokes programs to perform the command.
5231 You can pass arbitrary options to these programs.
5233 Both the compiler and the linker are in fact GCC programs.
5234 See the GCC manual for details on GCC options.
5236 @geindex ghdl command line option; -Wc
5237 @anchor{using/CommandReference cmdoption-ghdl-Wc}@anchor{17c}@anchor{using/CommandReference cmdoption-ghdl-wc}@anchor{17d}
5238 @deffn {Option} @w{-}Wc,<OPTION>
5239 @end deffn
5241 Pass @cite{OPTION} as an option to the compiler.
5243 @geindex ghdl command line option; -Wa
5244 @anchor{using/CommandReference cmdoption-ghdl-Wa}@anchor{17e}@anchor{using/CommandReference cmdoption-ghdl-wa}@anchor{17f}
5245 @deffn {Option} @w{-}Wa,<OPTION>
5246 @end deffn
5248 Pass @cite{OPTION} as an option to the assembler.
5250 @geindex ghdl command line option; -Wl
5251 @anchor{using/CommandReference cmdoption-ghdl-Wl}@anchor{180}@anchor{using/CommandReference cmdoption-ghdl-wl}@anchor{181}
5252 @deffn {Option} @w{-}Wl,<OPTION>
5253 @end deffn
5255 Pass @cite{OPTION} as an option to the linker.
5257 @c # Load pre-defined aliases and graphical characters like © from docutils
5258 @c # <file> is used to denote the special path
5259 @c # <Python>\Lib\site-packages\docutils\parsers\rst\include
5261 @c This data file has been placed in the public domain.
5263 @c Derived from the Unicode character mappings available from
5264 @c <http://www.w3.org/2003/entities/xml/>.
5265 @c Processed by unicode2rstsubs.py, part of Docutils:
5266 @c <http://docutils.sourceforge.net>.
5268 @c This data file has been placed in the public domain.
5270 @c Derived from the Unicode character mappings available from
5271 @c <http://www.w3.org/2003/entities/xml/>.
5272 @c Processed by unicode2rstsubs.py, part of Docutils:
5273 @c <http://docutils.sourceforge.net>.
5275 @c # define a hard line break for HTML
5277 @node Implementation of VHDL,Implementation of VITAL,Additional Command Reference,Top
5278 @anchor{using/ImplementationOfVHDL doc}@anchor{182}@anchor{using/ImplementationOfVHDL implementation-of-vhdl}@anchor{183}@anchor{using/ImplementationOfVHDL ref-implvhdl}@anchor{67}
5279 @chapter Implementation of VHDL
5282 @menu
5283 * VHDL standards:: 
5284 * PSL support:: 
5285 * Source representation:: 
5286 * Library database:: 
5287 * Top entity:: 
5288 * Using vendor libraries:: 
5290 @end menu
5292 @node VHDL standards,PSL support,,Implementation of VHDL
5293 @anchor{using/ImplementationOfVHDL id1}@anchor{184}@anchor{using/ImplementationOfVHDL vhdl-standards}@anchor{87}
5294 @section VHDL standards
5297 @geindex VHDL standards
5299 @geindex IEEE 1076
5301 @geindex IEEE 1076a
5303 @geindex 1076
5305 @geindex 1076a
5307 @geindex v87
5309 @geindex v93
5311 @geindex v93c
5313 @geindex v00
5315 @geindex v02
5317 @geindex v08
5319 Unfortunately, there are many versions of the VHDL
5320 language, and they aren’t backward compatible.
5322 The VHDL language was first standardized in 1987 by IEEE as IEEE 1076-1987, and
5323 is commonly referred as VHDL-87. This is certainly the most important version,
5324 since most of the VHDL tools are still based on this standard.
5326 Various problems of this first standard have been analyzed by experts groups
5327 to give reasonable ways of interpreting the unclear portions of the standard.
5329 VHDL was revised in 1993 by IEEE as IEEE 1076-1993. This revision is still
5330 well-known.
5332 Unfortunately, VHDL-93 is not fully compatible with VHDL-87, i.e. some perfectly
5333 valid VHDL-87 programs are invalid VHDL-93 programs. Here are some of the
5334 reasons:
5337 @itemize *
5339 @item 
5340 the syntax of file declaration has changed (this is the most visible source
5341 of incompatibility),
5343 @item 
5344 new keywords were introduced (group, impure, inertial, literal,
5345 postponed, pure, reject, rol, ror, shared, sla, sll, sra, srl,
5346 unaffected, xnor),
5348 @item 
5349 some dynamic behaviours have changed (the concatenation is one of them),
5351 @item 
5352 rules have been added.
5353 @end itemize
5355 Shared variables were replaced by protected types in the 2000 revision of
5356 the VHDL standard. This modification is also known as 1076a. Note that this
5357 standard is not fully backward compatible with VHDL-93, since the type of a
5358 shared variable must now be a protected type (there was no such restriction
5359 before).  This incompatibility can be bypassed with the
5360 @ref{de,,-frelaxed} option.
5362 Minor corrections were added by the 2002 revision of the VHDL standard. This
5363 revision is not fully backward compatible with VHDL-00 since, for example,
5364 the value of the @cite{‘instance_name} attribute has slightly changed.
5366 The latest version is 2008. Many features have been added, and GHDL
5367 doesn’t implement all of them.
5369 You can select the VHDL standard expected by GHDL with the
5370 @ref{88,,--std=STANDARD} option, where @code{STANDARD} is one of the list below:
5373 @table @asis
5375 @item 87
5377 Select VHDL-87 standard as defined by IEEE 1076-1987. LRM bugs corrected by
5378 later revisions are taken into account.
5380 @item 93
5382 Select VHDL-93; VHDL-87 file declarations are not accepted.
5384 @item 93c
5386 Same as 93 and @ref{de,,-frelaxed}.
5388 @item 00
5390 Select VHDL-2000 standard, which adds protected types.
5392 @item 02
5394 Select VHDL-2002 standard.
5396 @item 08
5398 Select VHDL-2008 standard (partially implemented).
5399 @end table
5401 Multiple standards can be used in a design:
5404 @multitable {xxxxxxx} {xxxxxxxxxxxxxxxxxx} 
5405 @headitem
5407 GROUP
5409 @tab
5411 VHDL Standard
5413 @item
5417 @tab
5421 @item
5425 @tab
5427 93, 93c, 00, 02
5429 @item
5433 @tab
5437 @end multitable
5440 @cartouche
5441 @quotation Note 
5442 The standards in each group are considered compatible: you can elaborate a design mixing these standards. However, standards of different groups are not compatible.
5443 @end quotation
5444 @end cartouche
5446 @node PSL support,Source representation,VHDL standards,Implementation of VHDL
5447 @anchor{using/ImplementationOfVHDL psl-implementation}@anchor{e3}@anchor{using/ImplementationOfVHDL psl-support}@anchor{185}
5448 @section PSL support
5451 GHDL implements a subset of PSL@footnote{https://en.wikipedia.org/wiki/Property_Specification_Language}.
5453 @menu
5454 * PSL implementation:: 
5455 * PSL usage:: 
5457 @end menu
5459 @node PSL implementation,PSL usage,,PSL support
5460 @anchor{using/ImplementationOfVHDL id2}@anchor{186}
5461 @subsection PSL implementation
5464 A PSL statement is considered as a process, so it’s not allowed within
5465 a process.
5467 All PSL directives (@cite{assert}, @cite{assume}, @cite{restrict}, @cite{cover}) must be clocked (GHDL doesn’t support unclocked directives).
5468 Furthermore only one clock per directive is allowed.
5470 You can either use a default clock like this:
5472 @example
5473 default clock is rising_edge (CLK);
5474 assert always
5475   a -> eventually! b;
5476 @end example
5478 or use a clocked expression (note the use of parentheses):
5480 @example
5481 assert (always a -> next[3](b)) @@rising_edge(clk);
5482 @end example
5484 Of course only the simple subset of PSL is allowed.
5486 Currently the built-in functions are not implemented, see #662@footnote{https://github.com/ghdl/ghdl/issues/662}.
5487 PSL functions @cite{prev()}, @cite{stable()}, @cite{rose()}, @cite{fell()}, @cite{onehot()} and @cite{onehot0()} are supported with GHDL synthesis.
5489 @node PSL usage,,PSL implementation,PSL support
5490 @anchor{using/ImplementationOfVHDL psl-usage}@anchor{187}
5491 @subsection PSL usage
5494 @menu
5495 * PSL annotations embedded in comments:: 
5496 * PSL annotations (VHDL-2008 only): PSL annotations VHDL-2008 only. 
5497 * PSL vunit files (VHDL-2008 / Synthesis only): PSL vunit files VHDL-2008 / Synthesis only. 
5499 @end menu
5501 @node PSL annotations embedded in comments,PSL annotations VHDL-2008 only,,PSL usage
5502 @anchor{using/ImplementationOfVHDL psl-annotations-embedded-in-comments}@anchor{188}
5503 @subsubsection PSL annotations embedded in comments
5506 GHDL understands embedded PSL annotations in VHDL files:
5508 @example
5509   -- psl default clock is rising_edge (CLK);
5510   -- psl assert always
5511   --   a -> eventually! b;
5512 end architecture rtl;
5513 @end example
5516 @itemize *
5518 @item 
5519 A PSL assertion statement must appear within a comment that starts
5520 with the @cite{psl} keyword. The keyword must be followed (on the
5521 same line) by a PSL keyword such as @cite{assert} or @cite{default}.
5522 To continue a PSL statement on the next line, just start a new comment.
5523 @end itemize
5525 @cartouche
5526 @quotation Hint 
5527 As PSL annotations are embedded within comments, you must analyze
5528 your design with option @ref{e2,,-fpsl} to enable PSL annotations:
5530 @example
5531 ghdl -a -fpsl vhdl_design.vhdl
5532 ghdl -e vhdl_design
5533 @end example
5534 @end quotation
5535 @end cartouche
5537 @node PSL annotations VHDL-2008 only,PSL vunit files VHDL-2008 / Synthesis only,PSL annotations embedded in comments,PSL usage
5538 @anchor{using/ImplementationOfVHDL psl-annotations-vhdl-2008-only}@anchor{189}
5539 @subsubsection PSL annotations (VHDL-2008 only)
5542 Since VHDL-2008 PSL is integrated in the VHDL language. You can use
5543 PSL in a VHDL(-2008) design without embedding it in comments.
5545 @example
5546   default clock is rising_edge (CLK);
5547   assert always
5548     a -> eventually! b;
5549 end architecture rtl;
5550 @end example
5552 @cartouche
5553 @quotation Hint 
5554 You have to use the @ref{88,,--std=08} option:
5556 @example
5557 ghdl -a --std=08 vhdl_design.vhdl
5558 ghdl -e --std=08 vhdl_design
5559 @end example
5560 @end quotation
5561 @end cartouche
5563 @node PSL vunit files VHDL-2008 / Synthesis only,,PSL annotations VHDL-2008 only,PSL usage
5564 @anchor{using/ImplementationOfVHDL psl-vunit-files-vhdl-2008-synthesis-only}@anchor{18a}
5565 @subsubsection PSL vunit files (VHDL-2008 / Synthesis only)
5568 GHDL supports vunit (Verification Unit) files.
5570 @example
5571 vunit vunit_name (entity_name(architecture_name))
5573   default clock is rising_edge(clk);
5574   assert always cnt /= 5 abort rst;
5576 @end example
5579 @itemize *
5581 @item 
5582 A vunit can contain PSL and VHDL code.
5584 @item 
5585 It is bound to a VHDL entity or an instance of it.
5587 @item 
5588 The PSL vunit is in the same scope as the VHDL design it is bound
5589 to. You have access to all objects (ports, types, signals) of the
5590 VHDL design.
5591 @end itemize
5593 @cartouche
5594 @quotation Hint 
5595 The PSL vunit file has to be analyzed together with the VHDL design file, for example:
5597 @example
5598 ghdl -a --std=08 vhdl_design.vhdl vunit.psl
5599 @end example
5601 Or when using the @cite{–synth} command:
5603 @example
5604 ghdl --synth --std=08 vhdl_design.vhdl vunit.psl -e vhdl_design
5605 @end example
5606 @end quotation
5607 @end cartouche
5609 @node Source representation,Library database,PSL support,Implementation of VHDL
5610 @anchor{using/ImplementationOfVHDL source-representation}@anchor{18b}
5611 @section Source representation
5614 According to the VHDL standard, design units (i.e. entities,
5615 architectures, packages, package bodies, and configurations) may be
5616 independently analyzed.
5618 Several design units may be grouped into a design file.
5620 In GHDL, a system file represents a design file. That is, a file compiled by
5621 GHDL may contain one or more design units.
5623 It is common to have several design units in a design file.
5625 GHDL does not impose any restriction on the name of a design file
5626 (except that the filename may not contain any control character or
5627 spaces).
5629 GHDL does not keep a binary representation of the design units analyzed like
5630 other VHDL analyzers. The sources of the design units are re-read when
5631 needed (for example, an entity is re-read when one of its architectures is
5632 analyzed). Therefore, if you delete or modify a source file of a unit
5633 analyzed, GHDL will refuse to use it.
5635 @node Library database,Top entity,Source representation,Implementation of VHDL
5636 @anchor{using/ImplementationOfVHDL id3}@anchor{18c}@anchor{using/ImplementationOfVHDL library-database}@anchor{18d}
5637 @section Library database
5640 Each design unit analyzed is placed into a design library. By default,
5641 the name of this design library is @code{work}; however, this can be
5642 changed with the @ref{8c,,--work} option of GHDL.
5644 To keep the list of design units in a design library, GHDL creates
5645 library files. The name of these files is @code{<LIB_NAME>-obj<GROUP>.cf}, where
5646 @cite{<LIB_NAME>} is the name of the library, and @cite{<GROUP>} the VHDL version (87,
5647 93 or 08) used to analyze the design units.
5649 For details on @code{GROUP} values see section @ref{87,,VHDL standards}.
5651 You don’t have to know how to read a library file. You can display it
5652 using the @emph{-d} of @cite{ghdl}. The file contains the name of the
5653 design units, as well as the location and the dependencies.
5655 The format may change with the next version of GHDL.
5657 @node Top entity,Using vendor libraries,Library database,Implementation of VHDL
5658 @anchor{using/ImplementationOfVHDL id4}@anchor{18e}@anchor{using/ImplementationOfVHDL top-entity}@anchor{c7}
5659 @section Top entity
5662 There are some restrictions on the entity being at the apex of a design
5663 hierarchy:
5666 @itemize *
5668 @item 
5669 The generic must have a default value, and the value of a generic is its
5670 default value.
5672 @item 
5673 The ports type must be constrained.
5674 @end itemize
5676 @node Using vendor libraries,,Top entity,Implementation of VHDL
5677 @anchor{using/ImplementationOfVHDL using-vendor-libraries}@anchor{18f}
5678 @section Using vendor libraries
5681 Many vendors libraries have been analyzed with @cite{GHDL}. There are usually no problems. Be sure to use the
5682 @ref{8c,,--work} option. However, some problems have been encountered. @cite{GHDL} follows the @cite{VHDL} LRM (the manual which
5683 defines @cite{VHDL}) more strictly than other @cite{VHDL} tools. You could try to relax the restrictions by using the
5684 @ref{88,,--std=93c}, @ref{8a,,-fexplicit}, @ref{8b,,-frelaxed-rules} and
5685 @ref{f8,,--warn-no-vital-generic}.
5687 @c # Load pre-defined aliases and graphical characters like © from docutils
5688 @c # <file> is used to denote the special path
5689 @c # <Python>\Lib\site-packages\docutils\parsers\rst\include
5691 @c This data file has been placed in the public domain.
5693 @c Derived from the Unicode character mappings available from
5694 @c <http://www.w3.org/2003/entities/xml/>.
5695 @c Processed by unicode2rstsubs.py, part of Docutils:
5696 @c <http://docutils.sourceforge.net>.
5698 @c This data file has been placed in the public domain.
5700 @c Derived from the Unicode character mappings available from
5701 @c <http://www.w3.org/2003/entities/xml/>.
5702 @c Processed by unicode2rstsubs.py, part of Docutils:
5703 @c <http://docutils.sourceforge.net>.
5705 @c # define a hard line break for HTML
5707 @node Implementation of VITAL,Directory structure,Implementation of VHDL,Top
5708 @anchor{using/ImplementationOfVITAL doc}@anchor{190}@anchor{using/ImplementationOfVITAL implementation-of-vital}@anchor{191}@anchor{using/ImplementationOfVITAL ref-implvital}@anchor{68}
5709 @chapter Implementation of VITAL
5712 @geindex VITAL
5714 @geindex IEEE 1076.4
5716 @geindex 1076.4
5718 This chapter describes how VITAL is implemented in GHDL. Support of VITAL is
5719 really in a preliminary stage. Do not expect too much of it as of right now.
5721 @menu
5722 * VITAL packages:: 
5723 * VHDL restrictions for VITAL:: 
5724 * Backannotation:: 
5725 * Negative constraint calculation:: 
5727 @end menu
5729 @node VITAL packages,VHDL restrictions for VITAL,,Implementation of VITAL
5730 @anchor{using/ImplementationOfVITAL id1}@anchor{192}@anchor{using/ImplementationOfVITAL vital-packages}@anchor{e0}
5731 @section VITAL packages
5734 The VITAL standard or IEEE 1076.4 was first published in 1995, and revised in
5735 2000.
5737 The version of the VITAL packages depends on the VHDL standard. VITAL
5738 1995 packages are used with the VHDL 1987 standard, while VITAL 2000
5739 packages are used with other standards. This choice is based on the
5740 requirements of VITAL: VITAL 1995 requires the models follow the VHDL
5741 1987 standard, while VITAL 2000 requires the models follow VHDL 1993.
5743 The VITAL 2000 packages were slightly modified so that they conform to
5744 the VHDL 1993 standard (a few functions are made pure and a few
5745 impure).
5747 @node VHDL restrictions for VITAL,Backannotation,VITAL packages,Implementation of VITAL
5748 @anchor{using/ImplementationOfVITAL id2}@anchor{193}@anchor{using/ImplementationOfVITAL vhdl-restrictions-for-vital}@anchor{eb}
5749 @section VHDL restrictions for VITAL
5752 The VITAL standard (partially) implemented is the IEEE 1076.4 standard
5753 published in 1995.
5755 This standard defines restriction of the VHDL language usage on VITAL
5756 model. A @emph{VITAL model} is a design unit (entity or architecture)
5757 decorated by the @cite{VITAL_Level0} or @cite{VITAL_Level1} attribute.
5758 These attributes are defined in the @cite{ieee.VITAL_Timing} package.
5760 Currently, only VITAL level 0 checks are implemented. VITAL level 1 models
5761 can be analyzed, but GHDL doesn’t check they comply with the VITAL standard.
5763 Moreover, GHDL doesn’t check (yet) that timing generics are not read inside
5764 a VITAL level 0 model prior the VITAL annotation.
5766 The analysis of a non-conformant VITAL model fails. You can disable the
5767 checks of VITAL restrictions with the @emph{–no-vital-checks}. Even when
5768 restrictions are not checked, SDF annotation can be performed.
5770 @node Backannotation,Negative constraint calculation,VHDL restrictions for VITAL,Implementation of VITAL
5771 @anchor{using/ImplementationOfVITAL backannotation}@anchor{12c}@anchor{using/ImplementationOfVITAL id3}@anchor{194}
5772 @section Backannotation
5775 @geindex SDF
5777 @emph{Backannotation} is the process of setting VITAL generics with timing
5778 information provided by an external files.
5780 The external files must be SDF (Standard Delay Format) files. GHDL
5781 supports a tiny subset of SDF version 2.1. Other version numbers can be
5782 used, provided no features added by later versions are used.
5784 Hierarchical instance names are not supported. However you can use a list of
5785 instances. If there is no instance, the top entity will be annotated and
5786 the celltype must be the name of the top entity. If there is at least one
5787 instance, the last instance name must be a component instantiation label, and
5788 the celltype must be the name of the component declaration instantiated.
5790 Instances being annotated are not required to be VITAL compliant. However
5791 generics being annotated must follow rules of VITAL (e.g., type must be a
5792 suitable vital delay type).
5794 Currently, only timing constraints applying on a timing generic of type
5795 @cite{VitalDelayType01} has been implemented. This SDF annotator is
5796 just a proof of concept. Features will be added with the following GHDL
5797 release.
5799 @node Negative constraint calculation,,Backannotation,Implementation of VITAL
5800 @anchor{using/ImplementationOfVITAL negative-constraint-calculation}@anchor{195}
5801 @section Negative constraint calculation
5804 Negative constraint delay adjustments are necessary to handle negative
5805 constraints such as a negative setup time. This step is defined in the VITAL
5806 standard and should occur after backannotation.
5808 GHDL does not do negative constraint calculation. It fails to handle models
5809 with negative constraint. I hope to be able to add this phase soon.
5811 @c # Load pre-defined aliases and graphical characters like © from docutils
5812 @c # <file> is used to denote the special path
5813 @c # <Python>\Lib\site-packages\docutils\parsers\rst\include
5815 @c This data file has been placed in the public domain.
5817 @c Derived from the Unicode character mappings available from
5818 @c <http://www.w3.org/2003/entities/xml/>.
5819 @c Processed by unicode2rstsubs.py, part of Docutils:
5820 @c <http://docutils.sourceforge.net>.
5822 @c This data file has been placed in the public domain.
5824 @c Derived from the Unicode character mappings available from
5825 @c <http://www.w3.org/2003/entities/xml/>.
5826 @c Processed by unicode2rstsubs.py, part of Docutils:
5827 @c <http://docutils.sourceforge.net>.
5829 @c # define a hard line break for HTML
5831 @node Directory structure,Building GHDL from Sources,Implementation of VITAL,Top
5832 @anchor{development/Directories doc}@anchor{196}@anchor{development/Directories build-dir-structure}@anchor{73}@anchor{development/Directories directory-structure}@anchor{197}
5833 @chapter Directory structure
5837 @itemize *
5839 @item 
5840 @code{doc}: @cite{reStructuredText} sources and auxiliary files to build the documentation with Sphinx@footnote{http://www.sphinx-doc.org}.
5841 A continuous integration (CI) workflow is used to automatically build and deploy this site and/or PDF you are reading.
5843 @item 
5844 @code{libraries}: mostly third party libraries such as @cite{ieee}, @cite{std}, @cite{synopsys} and @cite{vital}. Except for a few shell and
5845 @cite{Python} scripts, all the content is written in VHDL.
5847 @item 
5848 @code{logo}: Python and Gimp sources of the logo and the banners.
5850 @item 
5851 @code{pyGHDL}: sources of the @ref{0,,Python Interfaces}.
5853 @item 
5854 @code{scripts}: scripts and auxiliary files:
5857 @itemize *
5859 @item 
5860 @code{scripts/vendors}: Vendors like Altera, Lattice and Xilinx have their own simulation libraries, especially for FPGA
5861 primitives, soft and hard macros. These libraries cannot be shipped with GHDL, but we offer prepared compile scripts to
5862 pre-compile the vendor libraries, if the vendor tool is present on the computer. See @ref{54,,Precompile Vendor Primitives} for
5863 information on how to use them.
5865 @item 
5866 @code{scripts/gcc}: header and configuration files to build GHDL with GCC (all platforms).
5868 @item 
5869 @code{scripts/msys2-*}: PKGBUILD recipes for building nightly GHDL packages on MSYS2.
5871 @item 
5872 @code{scripts/pnodes*}: Python scripts for automatically generating some of the sources of @ref{0,,Python Interfaces}.
5873 @end itemize
5875 @item 
5876 @code{src}: sources of GHDL. Most of them are written in Ada, some in C.
5878 @item 
5879 @code{testsuite}: files used for testing.
5880 @end itemize
5882 @c # Load pre-defined aliases and graphical characters like © from docutils
5883 @c # <file> is used to denote the special path
5884 @c # <Python>\Lib\site-packages\docutils\parsers\rst\include
5886 @c This data file has been placed in the public domain.
5888 @c Derived from the Unicode character mappings available from
5889 @c <http://www.w3.org/2003/entities/xml/>.
5890 @c Processed by unicode2rstsubs.py, part of Docutils:
5891 @c <http://docutils.sourceforge.net>.
5893 @c This data file has been placed in the public domain.
5895 @c Derived from the Unicode character mappings available from
5896 @c <http://www.w3.org/2003/entities/xml/>.
5897 @c Processed by unicode2rstsubs.py, part of Docutils:
5898 @c <http://docutils.sourceforge.net>.
5900 @c # define a hard line break for HTML
5902 @node Building GHDL from Sources,pyGHDL,Directory structure,Top
5903 @anchor{development/building/index doc}@anchor{198}@anchor{development/building/index build}@anchor{51}@anchor{development/building/index building-ghdl-from-sources}@anchor{199}
5904 @chapter Building GHDL from Sources
5907 @c # Load pre-defined aliases and graphical characters like © from docutils
5908 @c # <file> is used to denote the special path
5909 @c # <Python>\Lib\site-packages\docutils\parsers\rst\include
5911 @c This data file has been placed in the public domain.
5913 @c Derived from the Unicode character mappings available from
5914 @c <http://www.w3.org/2003/entities/xml/>.
5915 @c Processed by unicode2rstsubs.py, part of Docutils:
5916 @c <http://docutils.sourceforge.net>.
5918 @c This data file has been placed in the public domain.
5920 @c Derived from the Unicode character mappings available from
5921 @c <http://www.w3.org/2003/entities/xml/>.
5922 @c Processed by unicode2rstsubs.py, part of Docutils:
5923 @c <http://docutils.sourceforge.net>.
5925 @c # define a hard line break for HTML
5927 @menu
5928 * Sources:: 
5929 * mcode backend:: 
5930 * LLVM backend:: 
5931 * GCC backend:: 
5932 * TL;DR:: 
5934 @end menu
5936 @node Sources,mcode backend,,Building GHDL from Sources
5937 @anchor{development/building/Sources doc}@anchor{19a}@anchor{development/building/Sources id1}@anchor{19b}@anchor{development/building/Sources sources}@anchor{19c}
5938 @section Sources
5941 @cartouche
5942 @quotation Hint 
5943 All the following procedures will retrieve the latest development version of GHDL, i.e., the @cite{master} branch at
5944 github.com/ghdl/ghdl@footnote{https://github.com/ghdl/ghdl}. We do our best to keep it stable, but bugs can seldom be
5945 published. See @cite{HINT} boxes below for instructions to get older releases.
5946 @end quotation
5947 @end cartouche
5948 @anchor{development/building/Sources release-sources-zip}@anchor{19d}
5949 @subsubheading Tarball/zip-file
5952 GHDL can be downloaded as a zip-file or tarball from GitHub. See the following table to choose your desired format/version:
5955 @cartouche
5956 @quotation Hint 
5957 To download a specific version of GHDL, use this alternative URL, where @code{<format>} is @code{tar.gz} or @code{zip}:
5958 @code{https://codeload.github.com/ghdl/ghdl/<format>/<tag>}.
5959 @end quotation
5960 @end cartouche
5961 @anchor{development/building/Sources release-sources-gitclone}@anchor{19e}
5962 @subsubheading git clone
5965 GHDL can be downloaded (cloned) with @code{git clone} from GitHub. GitHub offers the transfer protocols HTTPS and SSH. You should
5966 use SSH if you have a GitHub account and have already uploaded an OpenSSH public key to GitHub, otherwise use HTTPS if you
5967 have no account or you want to use login credentials.
5970 @multitable {xxxxxxxxxxxx} {xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx} 
5971 @headitem
5973 Protocol
5975 @tab
5977 GitHub Repository URL
5979 @item
5981 HTTPS
5983 @tab
5985 @indicateurl{https://github.com/ghdl/ghdl.git}
5987 @item
5991 @tab
5993 @indicateurl{ssh://git@@github.com:ghdl/ghdl.git}
5995 @end multitable
5998 @cartouche
5999 @quotation Hint 
6000 Execute @code{git checkout -b stable <tag>} after @code{git clone}, to checkout a specific version of GHDL.
6001 @end quotation
6002 @end cartouche
6004 Command line instructions to clone GHDL with HTTPS protocol:
6006 @example
6007 cd GitRoot
6008 git clone "https://github.com/ghdl/ghdl.git" ghdl
6009 cd ghdl
6010 git remote rename origin github
6011 @end example
6013 Command line instructions to clone GHDL with SSH protocol:
6015 @example
6016 cd GitRoot
6017 git clone "ssh://git@@github.com:ghdl/ghdl.git" ghdl
6018 cd ghdl
6019 git remote rename origin github
6020 @end example
6022 @cartouche
6023 @quotation Note 
6024 Executing the following instructions in Windows Command Prompt (@code{cmd.exe}) won’t function or will result in
6025 errors! All Windows command line instructions are intended for @code{Windows PowerShell}, if not marked otherwise.
6026 @end quotation
6027 @end cartouche
6029 @c # Load pre-defined aliases and graphical characters like © from docutils
6030 @c # <file> is used to denote the special path
6031 @c # <Python>\Lib\site-packages\docutils\parsers\rst\include
6033 @c This data file has been placed in the public domain.
6035 @c Derived from the Unicode character mappings available from
6036 @c <http://www.w3.org/2003/entities/xml/>.
6037 @c Processed by unicode2rstsubs.py, part of Docutils:
6038 @c <http://docutils.sourceforge.net>.
6040 @c This data file has been placed in the public domain.
6042 @c Derived from the Unicode character mappings available from
6043 @c <http://www.w3.org/2003/entities/xml/>.
6044 @c Processed by unicode2rstsubs.py, part of Docutils:
6045 @c <http://docutils.sourceforge.net>.
6047 @c # define a hard line break for HTML
6049 @node mcode backend,LLVM backend,Sources,Building GHDL from Sources
6050 @anchor{development/building/mcode doc}@anchor{19f}@anchor{development/building/mcode build-mcode}@anchor{1a0}@anchor{development/building/mcode mcode-backend}@anchor{1a1}
6051 @section mcode backend
6054 The mcode backend is available for all supported platforms and is also the
6055 simplest procedure, because it requires the fewest dependencies and configuration
6056 options.
6058 @menu
6059 * GCC/GNAT; GNU/Linux or Windows (MinGW/MSYS2): GCC/GNAT GNU/Linux or Windows MinGW/MSYS2. 
6060 * GNAT GPL; Windows: GNAT GPL Windows. 
6062 @end menu
6064 @node GCC/GNAT GNU/Linux or Windows MinGW/MSYS2,GNAT GPL Windows,,mcode backend
6065 @anchor{development/building/mcode build-mcode-gnat}@anchor{1a2}@anchor{development/building/mcode gcc-gnat-gnu-linux-or-windows-mingw-msys2}@anchor{1a3}
6066 @subsection GCC/GNAT: GNU/Linux or Windows (MinGW/MSYS2)
6069 @subsubheading Requirements
6073 @itemize *
6075 @item 
6076 GCC (Gnu Compiler Collection)
6078 @item 
6079 GNAT (Ada compiler for GCC)
6080 @end itemize
6082 GHDL is configured by @code{configure} and built by @code{make}.
6085 @itemize *
6087 @item 
6088 First, GHDL needs to be configured. It is common to specify a @code{PREFIX}
6089 (installation directory like @code{/usr/local} or @code{/opt/ghdl}). Without any
6090 other option, @code{configure} selects @cite{mcode} as the backend.
6092 @item 
6093 Next, @code{make} starts the compilation process.
6095 @item 
6096 Finally, @code{make install} installs GHDL into the installation directory
6097 specified by @code{PREFIX}.
6098 @end itemize
6100 @cartouche
6101 @quotation Hint 
6102 ON GNU/Linux, you may need super user privileges (@code{sudo ...}).
6103 @end quotation
6104 @end cartouche
6106 @subsubheading Example:
6109 @example
6110 $ cd <ghdl>
6111 $ mkdir build
6112 $ cd build
6113 $ ../configure --prefix=PREFIX
6114 $ make
6115 $ make install
6116 @end example
6118 @node GNAT GPL Windows,,GCC/GNAT GNU/Linux or Windows MinGW/MSYS2,mcode backend
6119 @anchor{development/building/mcode build-mcode-gnatgpl-windows}@anchor{1a4}@anchor{development/building/mcode gnat-gpl-windows}@anchor{1a5}
6120 @subsection GNAT GPL: Windows
6123 @subsubheading Requirements
6127 @itemize *
6129 @item 
6130 GNAT GPL from @indicateurl{http://libre.adacore.com}
6132 @item 
6133 PowerShell 4
6135 @item 
6136 PowerShell Community Extensions (PSCX)
6137 @end itemize
6139 @subsubheading @cite{compile.ps1}
6142 @example
6143 Commands          Description
6144 --------------------------------------------------------------------
6145 -Help             Display the integrated help pages
6146 -Clean            Clean up all files and directories
6147 -Compile          Compile GHDL
6148 -Install          Install all files into a directory (xcopy deployment)
6149 -Uninstall        Uninstall all files from a directory
6150 -Update           Update files in the installation directory
6151 -CreatePackage    create an installer package
6153 Install options:
6154 -InstallPath      Installation directory
6156 CreatePackage options:
6157 -Zip              Create a zip-file for xcopy deployment
6158 @end example
6160 @c # Load pre-defined aliases and graphical characters like © from docutils
6161 @c # <file> is used to denote the special path
6162 @c # <Python>\Lib\site-packages\docutils\parsers\rst\include
6164 @c This data file has been placed in the public domain.
6166 @c Derived from the Unicode character mappings available from
6167 @c <http://www.w3.org/2003/entities/xml/>.
6168 @c Processed by unicode2rstsubs.py, part of Docutils:
6169 @c <http://docutils.sourceforge.net>.
6171 @c This data file has been placed in the public domain.
6173 @c Derived from the Unicode character mappings available from
6174 @c <http://www.w3.org/2003/entities/xml/>.
6175 @c Processed by unicode2rstsubs.py, part of Docutils:
6176 @c <http://docutils.sourceforge.net>.
6178 @c # define a hard line break for HTML
6180 @node LLVM backend,GCC backend,mcode backend,Building GHDL from Sources
6181 @anchor{development/building/LLVM doc}@anchor{1a6}@anchor{development/building/LLVM build-llvm}@anchor{1a7}@anchor{development/building/LLVM llvm-backend}@anchor{1a8}
6182 @section LLVM backend
6185 @subsubheading Requirements
6189 @itemize *
6191 @item 
6192 GCC (Gnu Compiler Collection)
6194 @item 
6195 GNAT (Ada compiler for GCC)
6197 @item 
6198 LLVM (Low-Level-Virtual Machine) and CLANG (Compiler front-end for LLVM): 3.5, 3.8, 3.9, 4.0, 5.0, 6.0, 7.0, 8.0,
6199 9.0, 10.0, 11.0, 11.1 or 12.0
6200 @end itemize
6202 @menu
6203 * GCC/GNAT; GNU/Linux or Windows (MinGW/MSYS2): GCC/GNAT GNU/Linux or Windows MinGW/MSYS2<2>. 
6205 @end menu
6207 @node GCC/GNAT GNU/Linux or Windows MinGW/MSYS2<2>,,,LLVM backend
6208 @anchor{development/building/LLVM build-llvm-gnat}@anchor{1a9}@anchor{development/building/LLVM gcc-gnat-gnu-linux-or-windows-mingw-msys2}@anchor{1aa}
6209 @subsection GCC/GNAT: GNU/Linux or Windows (MinGW/MSYS2)
6212 @cartouche
6213 @quotation Hint 
6214 You need to install LLVM (usually depends on @code{libedit}, see #29@footnote{https://github.com/ghdl/ghdl/issues/29}). Debugging is supported with LLVM 3.5 or @code{>=6}.
6215 @end quotation
6216 @end cartouche
6218 GHDL is configured by @code{configure} and built by @code{make}.
6221 @itemize *
6223 @item 
6224 First, GHDL needs to be configured. It is common to specify a @code{PREFIX}
6225 (installation directory like @code{/usr/local} or @code{/opt/ghdl}). Set the proper
6226 arg, @code{./configure --with-llvm-config}, to select LLVM backend. If
6227 @code{llvm-config} is not in your path, you can specify it:
6228 @code{./configure --with-llvm-config=LLVM_INSTALL/bin/llvm-config}.
6230 @item 
6231 Next, @code{make} starts the compilation process.
6233 @item 
6234 Finally, @code{make install} installs GHDL into the installation directory
6235 specified by @code{PREFIX}.
6236 @end itemize
6238 @subsubheading Example:
6241 @example
6242 $ cd <ghdl>
6243 $ mkdir build
6244 $ cd build
6245 $ ../configure --with-llvm-config --prefix=PREFIX
6246 $ make
6247 $ make install
6248 @end example
6250 @cartouche
6251 @quotation Hint 
6252 If you want to have stack backtraces on errors (like assert failure or index of out bounds), you need to configure and build @code{libbacktrace} from GCC (you don’t need to configure GCC). Then add the following arg to configure: @code{--with-backtrace-lib=/path-to-gcc-build/libbacktrace/.libs/libbacktrace.a}
6253 @end quotation
6254 @end cartouche
6256 @c # Load pre-defined aliases and graphical characters like © from docutils
6257 @c # <file> is used to denote the special path
6258 @c # <Python>\Lib\site-packages\docutils\parsers\rst\include
6260 @c This data file has been placed in the public domain.
6262 @c Derived from the Unicode character mappings available from
6263 @c <http://www.w3.org/2003/entities/xml/>.
6264 @c Processed by unicode2rstsubs.py, part of Docutils:
6265 @c <http://docutils.sourceforge.net>.
6267 @c This data file has been placed in the public domain.
6269 @c Derived from the Unicode character mappings available from
6270 @c <http://www.w3.org/2003/entities/xml/>.
6271 @c Processed by unicode2rstsubs.py, part of Docutils:
6272 @c <http://docutils.sourceforge.net>.
6274 @c # define a hard line break for HTML
6276 @node GCC backend,TL;DR,LLVM backend,Building GHDL from Sources
6277 @anchor{development/building/GCC doc}@anchor{1ab}@anchor{development/building/GCC build-gcc}@anchor{1ac}@anchor{development/building/GCC gcc-backend}@anchor{1ad}
6278 @section GCC backend
6281 @cartouche
6282 @quotation Todo 
6283 Instructions to build GHDL with GCC backend on Windows are not available yet.
6284 @end quotation
6285 @end cartouche
6287 @subsubheading Requirements
6291 @itemize *
6293 @item 
6294 GCC (Gnu Compiler Collection)
6296 @item 
6297 GNAT (Ada compiler for GCC)
6299 @item 
6300 GCC source files. Download and untar the sources of version 4.9.x, 5.x, 6.x, 7.x, 8.x, 9.x, 10.x or 11.x (GCC mirror sites@footnote{https://gcc.gnu.org/mirrors.html}).
6301 @end itemize
6303 @cartouche
6304 @quotation Hint 
6305 There are some dependencies for building GCC (@code{gmp}, @code{mpfr} and @code{mpc}). If you have not installed them on your system, you can either build them manually or use the @code{download_prerequisites} script provided in the GCC source tree (recommended): @code{cd /path/to/gcc/source/dir && ./contrib/download_prerequisites}.
6306 @end quotation
6307 @end cartouche
6310 @itemize *
6312 @item 
6313 First configure GHDL, specify GCC source directory and installation prefix (like  @code{/usr/local} or @code{/opt/ghdl}).
6315 @item 
6316 Next, invoke @code{make copy-sources} to copy GHDL sources in the source directory.
6318 @item 
6319 Then, configure GCC. The list of @code{--disable} configure options can be adjusted to your needs. GHDL does not require all these optional libraries and disabling them will speed up the build.
6321 @item 
6322 Now, build and install GCC with @code{make}.
6324 @item 
6325 Last, build and install GHDL libraries.
6326 @end itemize
6328 @subsubheading Example:
6331 @example
6332 $ cd <ghdl>
6333 $ mkdir build
6334 $ cd build
6335 $ ../configure --with-gcc=/path/to/gcc/source/dir --prefix=/usr/local
6336 $ make copy-sources
6337 $ mkdir gcc-objs; cd gcc-objs
6338 $ /path/to/gcc/source/dir/configure --prefix=/usr/local --enable-languages=c,vhdl \
6339 --disable-bootstrap --disable-lto --disable-multilib --disable-libssp \
6340 --disable-libgomp --disable-libquadmath
6341 $ make -j2 && make install
6342 $ cd /path/to/ghdl/source/dir/build
6343 $ make ghdllib
6344 $ make install
6345 @end example
6347 @cartouche
6348 @quotation Hint 
6349 Note that the prefix directory to configure @code{gcc} must be the same as the one used to configure GHDL. If you have manually built @code{gmp}/@code{mpfr}/@code{mpc} (without using the script in @code{contrib}), and, if you have installed them in a non-standard directory, you may need to add @code{--with-gmp=GMP_INSTALL_DIR}.
6350 @end quotation
6351 @end cartouche
6353 @cartouche
6354 @quotation Hint 
6355 If your system gcc was configured with @code{--enable-default-pie} (check if that option appears in the output of @code{gcc -v}), you should also add it.
6356 @end quotation
6357 @end cartouche
6359 @cartouche
6360 @quotation Hint 
6361 If you don’t want to install @code{makeinfo}, do @code{make install MAKEINFO=true} instead.
6362 @end quotation
6363 @end cartouche
6365 @cartouche
6366 @quotation Hint 
6367 Once GCC (with GHDL) has been built once, it is possible to work on the GHDL source tree without copying it in the GCC tree. Commands are:
6369 @example
6370 $ make ghdl1-gcc           # Build the compiler
6371 $ make ghdl_gcc            # Build the driver
6372 $ make libs.vhdl.local_gcc # Compile the vhdl libraries
6373 $ make grt-all             # Build the GHDL runtime
6374 $ make install.vpi.local   # Locally install vpi files
6375 @end example
6377 In @code{src/ortho/gcc}, create a @code{Makefile.conf} file that sets the following
6378 variables:
6380 @example
6381 AGCC_GCCSRC_DIR=/path/to/gcc/sources
6382 AGCC_GCCOBJ_DIR=/path/to/gcc/build
6383 @end example
6385 If your system gcc was built with @code{--enable-default-pie}, add
6386 @code{-no-pie} option for linking.
6387 @end quotation
6388 @end cartouche
6390 @cartouche
6391 @quotation Hint 
6392 For ppc64/ppc64le platform, the object file format contains an identifier for the source language. Because gcc doesn’t know about VHDL, gcc crashes very early. This could be fixed with a very simple change in @code{gcc/config/rs6000/rs6000.c} (@code{gcc/config/rs6000/rs6000-logue.c} since gcc 10), function @code{rs6000_output_function_epilogue}:
6394 @example
6395           || ! strcmp (language_string, "GNU GIMPLE")
6396           || ! strcmp (language_string, "GNU Go")
6397           || ! strcmp (language_string, "GNU D")
6398 -         || ! strcmp (language_string, "libgccjit"))
6399 +         || ! strcmp (language_string, "libgccjit")
6400 +         || ! strcmp (language_string, "vhdl"))
6401         i = 0;
6402 @end example
6403 @end quotation
6404 @end cartouche
6406 GHDL can be downloaded as a tarball@footnote{https://github.com/ghdl/ghdl/archive/master.tar.gz}/zipfile@footnote{https://github.com/ghdl/ghdl/archive/master.zip}
6407 or cloned with @code{git clone} from GitHub. GitHub offers HTTPS and SSH as transfer protocols. See the @ref{19c,,Sources} page for
6408 further details.
6410 @cartouche
6411 @quotation Important 
6412 Since GHDL is written in @cite{Ada}, independently of the code generator you use, a compiler is required. Most GNU/Linux package
6413 managers provide @code{gcc-ada} or @code{gcc-gnat} (which could be outdated). Alternatively, @cite{GNU Ada compiler}, @cite{GNAT GPL}, can be downloaded
6414 without registration from libre.adacore.com@footnote{http://libre.adacore.com/tools/gnat-gpl-edition/} (2014, or later; for x86, 32 or 64 bits).
6415 @end quotation
6416 @end cartouche
6418 @cartouche
6419 @quotation Hint 
6420 The download page of @emph{GNAT Community Edition} provides the latest version (x86, 64 bits), with a graphical installer
6421 (@code{chmod +x *.bin} and execute it). Alternatively, you can find a link to @emph{More packages, platforms, versions and sources}
6422 at the bottom of the page, where versions previous to 2018 are available as binaries ready to be installed
6423 (@cite{untar} and run the @cite{doinstall} script). In any case, you must add @code{<GNAT_INSTALL_DIR>/bin} to your @code{PATH}.
6424 @end quotation
6425 @end cartouche
6427 @cartouche
6428 @quotation Attention 
6429 Since @code{v0.37}, GHDL’s synthesis features require GCC >=8.1, due to some new GNAT features which are not available in
6430 previous releases. Users with older versions (who don’t need synthesis) can configure GHDL with option @code{--disable-synth}.
6431 @end quotation
6432 @end cartouche
6434 GHDL currently supports three different back-ends (code generators):
6437 @itemize *
6439 @item 
6440 mcode - built-in in-memory x86 (or x86_64) code generator
6442 @item 
6443 GCC - Gnu Compiler Collection (gcc.gnu.org@footnote{http://gcc.gnu.org/})
6445 @item 
6446 LLVM - Low-Level Virtual Machine (llvm.org@footnote{http://llvm.org/})
6447 @end itemize
6449 Here is a short comparison, so that you can choose the one you want to use:
6452 @multitable {xxxxxxxxxxxxxxxxxxxxxxxxxxxxxx} {xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx} {xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx} 
6453 @headitem
6455 Back-end
6457 @tab
6459 Pros
6461 @tab
6463 Cons
6465 @item
6467 @ref{1a0,,mcode}
6469 @tab
6472 @itemize *
6474 @item 
6475 Very easy to build
6477 @item 
6478 Very quick analysis
6480 @item 
6481 Can handle very large designs
6483 @item 
6484 Base simulation time can be modified for speeding up execution
6485 @end itemize
6487 @tab
6490 @itemize *
6492 @item 
6493 Simulation is slower
6495 @item 
6496 x86_64/i386 only
6497 @end itemize
6499 @item
6501 @ref{1a7,,LLVM}
6503 @tab
6506 @itemize *
6508 @item 
6509 Generated code is faster
6511 @item 
6512 Generated code can be debugged (with @code{-g})
6514 @item 
6515 Easier to build than GCC
6517 @item 
6518 Ported to many platforms (x86, x86_64, armv7/aarch64)
6519 @end itemize
6521 @tab
6524 @itemize *
6526 @item 
6527 Build is more complex than mcode
6528 @end itemize
6530 @item
6532 @ref{1ac,,GCC}
6534 @tab
6537 @itemize *
6539 @item 
6540 Generated code is faster (particularly with @code{-O} or @code{-O2})
6542 @item 
6543 Generated code can be debugged (with @code{-g})
6545 @item 
6546 Ported to many platforms (x86, x86_64, PowerPC, SPARC)
6547 @end itemize
6549 @tab
6552 @itemize *
6554 @item 
6555 Build is even more complex
6557 @item 
6558 Analysis can take time (particularly for large units)
6560 @item 
6561 Code coverage collection (@code{gcov}) is unique to GCC
6562 @end itemize
6564 @end multitable
6567 @cartouche
6568 @quotation Hint 
6569 The output of both GCC and LLVM is an executable file, but @cite{mcode} does not generate any. Therefore, if using GCC/LLVM,
6570 the call with argument @code{-r} can be replaced with direct execution of the binary. See section @ref{64,,Simulation}.
6571 @end quotation
6572 @end cartouche
6574 After making your choice, you can jump to the corresponding section.
6575 However, we suggest you to read @ref{73,,Directory structure} first, so that you
6576 know where the content will be placed and which files are expected to be
6577 created.
6579 @cartouche
6580 @quotation Hint 
6581 In these instructions, the configure script is executed in the source directory; but you can execute in a different
6582 directory too, like this:
6584 @quotation
6586 @example
6587 $ mkdir ghdl-objs
6588 $ cd ghdl-objs
6589 $ ../path/to/ghdl/configure ...
6590 @end example
6591 @end quotation
6592 @end quotation
6593 @end cartouche
6595 @cartouche
6596 @quotation Hint 
6597 On Windows, building GHDL with mcode backend and GNAT GPL 32 bit seems to be the only way to get a standalone native
6598 executable straightaway. MINGW/MSYS2 builds depend on the environment/runtime. See #1560@footnote{https://github.com/ghdl/ghdl/issues/1560}.
6599 @end quotation
6600 @end cartouche
6602 @cartouche
6603 @quotation Hint 
6604 For MacOS 10.15 (Catalina), see #1368@footnote{https://github.com/ghdl/ghdl/issues/1368} for workarounds to link failures.
6605 @end quotation
6606 @end cartouche
6608 @node TL;DR,,GCC backend,Building GHDL from Sources
6609 @anchor{development/building/index tl-dr}@anchor{1ae}
6610 @section TL;DR
6613 In order to follow the traditional way to @code{configure} and @code{make}, you need an Ada compiler.
6615 @cartouche
6616 @quotation Hint 
6617 Depending on the OS and distribution you are using, you will also need to install some toolchain dependencies, such as
6618 @code{zlib}.
6619 @end quotation
6620 @end cartouche
6622 To use mcode backend (easiest to build), in the GHDL base directory, configure and build:
6624 @example
6625 $ ./configure --prefix=/usr/local
6626 $ make
6627 @end example
6629 At that place, you can already use the @cite{ghdl_mcode} built in the directory. You can also install GHDL:
6631 @example
6632 $ make install
6633 @end example
6635 That’s all!
6637 @cartouche
6638 @quotation Hint 
6639 The executable is installed as ‘ghdl’ in @code{/usr/local}. To install it to a different path, change the @code{--prefix} in the
6640 call to @code{configure}. For example, on Windows, you may want to set it to @code{--prefix=/c/Program Files (x86)/GHDL}.
6641 @end quotation
6642 @end cartouche
6644 @c # Load pre-defined aliases and graphical characters like © from docutils
6645 @c # <file> is used to denote the special path
6646 @c # <Python>\Lib\site-packages\docutils\parsers\rst\include
6648 @c This data file has been placed in the public domain.
6650 @c Derived from the Unicode character mappings available from
6651 @c <http://www.w3.org/2003/entities/xml/>.
6652 @c Processed by unicode2rstsubs.py, part of Docutils:
6653 @c <http://docutils.sourceforge.net>.
6655 @c This data file has been placed in the public domain.
6657 @c Derived from the Unicode character mappings available from
6658 @c <http://www.w3.org/2003/entities/xml/>.
6659 @c Processed by unicode2rstsubs.py, part of Docutils:
6660 @c <http://docutils.sourceforge.net>.
6662 @c # define a hard line break for HTML
6664 @node pyGHDL,GHDL Waveform GHW,Building GHDL from Sources,Top
6665 @anchor{pyGHDL/pyGHDL doc}@anchor{1af}@anchor{pyGHDL/pyGHDL module-pyGHDL}@anchor{0}@anchor{pyGHDL/pyGHDL pyghdl}@anchor{1b0}
6666 @chapter pyGHDL
6669 @geindex module; pyGHDL
6670 @anchor{pyGHDL/pyGHDL python-interface}@anchor{1b1}
6671 GHDL offers two Python interfaces and a language server protocol service. All
6672 this is provided from a @code{pyGHDL} packages with four sub-packages:
6675 @itemize *
6677 @item 
6678 @code{pyGHDL.cli} - Command line interface (CLI) applications.
6680 @item 
6681 @code{pyGHDL.dom} - A high-level API offering a document object model (DOM).
6682 The underlying abstract VHDL language model is provided by pyVHDLModel@footnote{https://vhdl.github.io/pyVHDLModel/index.html}.
6683 The DOM is using @code{libghdl} for file analysis and parsing.
6685 @item 
6686 @code{pyGHDL.libghdl} - A low-level API directly interacting with the shared library @code{libghdl....so}/@code{libghdl....dll}.
6687 This is a procedural and C-like interface. It comes with some Python generators for easier iterating linked lists.
6689 @item 
6690 @code{pyGHDL.lsp} - A language server protocol@footnote{https://en.wikipedia.org/wiki/Language_Server_Protocol} (LSP)
6691 written in Python. The implementation offers an HTTPS service that can be used e.g. by editors and IDEs supporting LSP.
6692 @end itemize
6694 @c #-----------------------------------
6696 @strong{Submodules}
6698 @c # Load pre-defined aliases and graphical characters like © from docutils
6699 @c # <file> is used to denote the special path
6700 @c # <Python>\Lib\site-packages\docutils\parsers\rst\include
6702 @c This data file has been placed in the public domain.
6704 @c Derived from the Unicode character mappings available from
6705 @c <http://www.w3.org/2003/entities/xml/>.
6706 @c Processed by unicode2rstsubs.py, part of Docutils:
6707 @c <http://docutils.sourceforge.net>.
6709 @c This data file has been placed in the public domain.
6711 @c Derived from the Unicode character mappings available from
6712 @c <http://www.w3.org/2003/entities/xml/>.
6713 @c Processed by unicode2rstsubs.py, part of Docutils:
6714 @c <http://docutils.sourceforge.net>.
6716 @c # define a hard line break for HTML
6718 @menu
6719 * pyGHDL.cli: pyGHDL cli. 
6720 * pyGHDL.dom: pyGHDL dom. 
6721 * pyGHDL.libghdl: pyGHDL libghdl. 
6722 * pyGHDL.lsp: pyGHDL lsp. 
6724 @end menu
6726 @node pyGHDL cli,pyGHDL dom,,pyGHDL
6727 @anchor{pyGHDL/pyGHDL cli doc}@anchor{1b2}@anchor{pyGHDL/pyGHDL cli module-pyGHDL cli}@anchor{1}@anchor{pyGHDL/pyGHDL cli pyghdl-cli}@anchor{1b3}
6728 @section pyGHDL.cli
6731 @geindex module; pyGHDL.cli
6733 @c #-----------------------------------
6735 @strong{Submodules}
6737 @c # Load pre-defined aliases and graphical characters like © from docutils
6738 @c # <file> is used to denote the special path
6739 @c # <Python>\Lib\site-packages\docutils\parsers\rst\include
6741 @c This data file has been placed in the public domain.
6743 @c Derived from the Unicode character mappings available from
6744 @c <http://www.w3.org/2003/entities/xml/>.
6745 @c Processed by unicode2rstsubs.py, part of Docutils:
6746 @c <http://docutils.sourceforge.net>.
6748 @c This data file has been placed in the public domain.
6750 @c Derived from the Unicode character mappings available from
6751 @c <http://www.w3.org/2003/entities/xml/>.
6752 @c Processed by unicode2rstsubs.py, part of Docutils:
6753 @c <http://docutils.sourceforge.net>.
6755 @c # define a hard line break for HTML
6757 @menu
6758 * pyGHDL.cli.dom: pyGHDL cli dom. 
6759 * pyGHDL.cli.lsp: pyGHDL cli lsp. 
6761 @end menu
6763 @node pyGHDL cli dom,pyGHDL cli lsp,,pyGHDL cli
6764 @anchor{pyGHDL/pyGHDL cli dom doc}@anchor{1b4}@anchor{pyGHDL/pyGHDL cli dom module-pyGHDL cli dom}@anchor{2}@anchor{pyGHDL/pyGHDL cli dom pyghdl-cli-dom}@anchor{1b5}
6765 @subsection pyGHDL.cli.dom
6768 @geindex module; pyGHDL.cli.dom
6770 @c #-----------------------------------
6772 @strong{Classes}
6775 @itemize -
6777 @item 
6778 @ref{1b6,,Application}:
6779 A mixin class (interface) to provide class-local terminal writing methods.
6780 @end itemize
6782 @c #-----------------------------------
6784 @geindex Application (class in pyGHDL.cli.dom)
6785 @anchor{pyGHDL/pyGHDL cli dom pyGHDL cli dom Application}@anchor{1b6}
6786 @deffn {Class} pyGHDL.cli.dom.Application (*args, **kwargs)
6788 @subsubheading Inheritance
6790 @image{inheritance-5a259c619302a2ec2f1f98171ce3061e2d77bc31,,,[graphviz],png}
6792 @subsubheading Members
6795 @geindex HeadLine (pyGHDL.cli.dom.Application attribute)
6796 @anchor{pyGHDL/pyGHDL cli dom pyGHDL cli dom Application HeadLine}@anchor{1b7}
6797 @deffn {Attribute} HeadLine  =  'pyGHDL.dom @w{-} Test Application'
6798 @end deffn
6800 @geindex __PLATFORM (pyGHDL.cli.dom.Application attribute)
6801 @anchor{pyGHDL/pyGHDL cli dom pyGHDL cli dom Application __PLATFORM}@anchor{1b8}
6802 @deffn {Attribute} __PLATFORM  =  'Darwin'
6803 @end deffn
6805 @geindex _design (pyGHDL.cli.dom.Application attribute)
6806 @anchor{pyGHDL/pyGHDL cli dom pyGHDL cli dom Application _design}@anchor{1b9}
6807 @deffn {Attribute} _design:  @ref{1ba,,pyGHDL.dom.NonStandard.Design}
6808 @end deffn
6810 @geindex Platform (pyGHDL.cli.dom.Application property)
6811 @anchor{pyGHDL/pyGHDL cli dom pyGHDL cli dom Application Platform}@anchor{1bb}
6812 @deffn {Property} Platform
6813 @end deffn
6815 @geindex PrintHeadline() (pyGHDL.cli.dom.Application method)
6816 @anchor{pyGHDL/pyGHDL cli dom pyGHDL cli dom Application PrintHeadline}@anchor{1bc}
6817 @deffn {Method} PrintHeadline ()
6818 @end deffn
6820 @geindex Run() (pyGHDL.cli.dom.Application method)
6821 @anchor{pyGHDL/pyGHDL cli dom pyGHDL cli dom Application Run}@anchor{1bd}
6822 @deffn {Method} Run ()
6823 @end deffn
6825 @geindex HandleDefault() (pyGHDL.cli.dom.Application method)
6826 @anchor{pyGHDL/pyGHDL cli dom pyGHDL cli dom Application HandleDefault}@anchor{1be}
6827 @deffn {Method} HandleDefault (_)
6828 @end deffn
6830 @geindex HandleHelp() (pyGHDL.cli.dom.Application method)
6831 @anchor{pyGHDL/pyGHDL cli dom pyGHDL cli dom Application HandleHelp}@anchor{1bf}
6832 @deffn {Method} HandleHelp (args)
6833 @end deffn
6835 @geindex HandleInfo() (pyGHDL.cli.dom.Application method)
6836 @anchor{pyGHDL/pyGHDL cli dom pyGHDL cli dom Application HandleInfo}@anchor{1c0}
6837 @deffn {Method} HandleInfo (args)
6838 @end deffn
6840 @geindex HandlePretty() (pyGHDL.cli.dom.Application method)
6841 @anchor{pyGHDL/pyGHDL cli dom pyGHDL cli dom Application HandlePretty}@anchor{1c1}
6842 @deffn {Method} HandlePretty (args)
6843 @end deffn
6845 @geindex addFile() (pyGHDL.cli.dom.Application method)
6846 @anchor{pyGHDL/pyGHDL cli dom pyGHDL cli dom Application addFile}@anchor{1c2}
6847 @deffn {Method} addFile (filename, library)
6849 @*Return type: 
6850 @ref{1c3,,Document}
6852 @end deffn
6854 @geindex BaseIndent (pyGHDL.cli.dom.Application property)
6855 @anchor{pyGHDL/pyGHDL cli dom pyGHDL cli dom Application BaseIndent}@anchor{1c4}
6856 @deffn {Property} BaseIndent:  int@footnote{https://docs.python.org/3.6/library/functions.html#int}
6858 @*Return type: 
6859 int@footnote{https://docs.python.org/3.6/library/functions.html#int}
6861 @end deffn
6863 @geindex Debug (pyGHDL.cli.dom.Application property)
6864 @anchor{pyGHDL/pyGHDL cli dom pyGHDL cli dom Application Debug}@anchor{1c5}
6865 @deffn {Property} Debug:  bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
6867 Returns true, if debug messages are enabled.
6869 @*Return type: 
6870 bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
6872 @end deffn
6874 @geindex ExitOnPreviousErrors() (pyGHDL.cli.dom.Application method)
6875 @anchor{pyGHDL/pyGHDL cli dom pyGHDL cli dom Application ExitOnPreviousErrors}@anchor{1c6}
6876 @deffn {Method} ExitOnPreviousErrors ()
6878 Exit application if errors have been printed.
6880 @*Return type: 
6881 None@footnote{https://docs.python.org/3.6/library/constants.html#None}
6883 @end deffn
6885 @geindex ExitOnPreviousWarnings() (pyGHDL.cli.dom.Application method)
6886 @anchor{pyGHDL/pyGHDL cli dom pyGHDL cli dom Application ExitOnPreviousWarnings}@anchor{1c7}
6887 @deffn {Method} ExitOnPreviousWarnings ()
6889 Exit application if warnings have been printed.
6891 @*Return type: 
6892 None@footnote{https://docs.python.org/3.6/library/constants.html#None}
6894 @end deffn
6896 @geindex FATAL_EXIT_CODE (pyGHDL.cli.dom.Application attribute)
6897 @anchor{pyGHDL/pyGHDL cli dom pyGHDL cli dom Application FATAL_EXIT_CODE}@anchor{1c8}
6898 @deffn {Attribute} FATAL_EXIT_CODE  =  255
6899 @end deffn
6901 @geindex Foreground (pyGHDL.cli.dom.Application attribute)
6902 @anchor{pyGHDL/pyGHDL cli dom pyGHDL cli dom Application Foreground}@anchor{1c9}
6903 @deffn {Attribute} Foreground  =  @{'BLUE': '\x1b[94m', 'CYAN': '\x1b[96m', 'DARK_BLUE': '\x1b[34m', 'DARK_CYAN': '\x1b[36m', 'DARK_GRAY': '\x1b[90m', 'DARK_GREEN': '\x1b[32m', 'DARK_RED': '\x1b[31m', 'DARK_YELLOW': '\x1b[33m', 'ERROR': '\x1b[91m', 'GRAY': '\x1b[37m', 'GREEN': '\x1b[92m', 'HEADLINE': '\x1b[95m', 'MAGENTA': '\x1b[95m', 'NOCOLOR': '\x1b[39m', 'RED': '\x1b[91m', 'WARNING': '\x1b[93m', 'WHITE': '\x1b[97m', 'YELLOW': '\x1b[93m'@}
6905 Terminal colors
6906 @end deffn
6908 @geindex GetAttributes() (pyGHDL.cli.dom.Application static method)
6909 @anchor{pyGHDL/pyGHDL cli dom pyGHDL cli dom Application GetAttributes}@anchor{1ca}
6910 @deffn {Method} static  GetAttributes (method, filter=<class 'pyAttributes.Attribute'>)
6912 Returns a list of pyAttributes attached to the given method.
6914 @*Return type: 
6915 List@footnote{https://docs.python.org/3.6/library/typing.html#typing.List}[TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{TAttr}, bound= @code{Attribute})]
6917 @end deffn
6919 @geindex GetMethods() (pyGHDL.cli.dom.Application method)
6920 @anchor{pyGHDL/pyGHDL cli dom pyGHDL cli dom Application GetMethods}@anchor{1cb}
6921 @deffn {Method} GetMethods (filter=<class 'pyAttributes.Attribute'>)
6923 @*Return type: 
6924 Union@footnote{https://docs.python.org/3.6/library/typing.html#typing.Union}[Dict@footnote{https://docs.python.org/3.6/library/typing.html#typing.Dict}[Callable@footnote{https://docs.python.org/3.6/library/typing.html#typing.Callable}, List@footnote{https://docs.python.org/3.6/library/typing.html#typing.List}[TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{TAttr}, bound= @code{Attribute})]], bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}]
6926 @end deffn
6928 @geindex GetTerminalSize() (pyGHDL.cli.dom.Application static method)
6929 @anchor{pyGHDL/pyGHDL cli dom pyGHDL cli dom Application GetTerminalSize}@anchor{1cc}
6930 @deffn {Method} static  GetTerminalSize ()
6932 Returns the terminal size as tuple (width, height) for Windows, Mac OS (Darwin), Linux, cygwin (Windows), MinGW32/64 (Windows).
6934 @*Return type: 
6935 Tuple@footnote{https://docs.python.org/3.6/library/typing.html#typing.Tuple}[int@footnote{https://docs.python.org/3.6/library/functions.html#int}, int@footnote{https://docs.python.org/3.6/library/functions.html#int}]
6937 @end deffn
6939 @geindex HasAttribute() (pyGHDL.cli.dom.Application static method)
6940 @anchor{pyGHDL/pyGHDL cli dom pyGHDL cli dom Application HasAttribute}@anchor{1cd}
6941 @deffn {Method} static  HasAttribute (method, filter=<class 'pyAttributes.Attribute'>)
6943 Returns true, if the given method has pyAttributes attached.
6945 @*Return type: 
6946 bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
6948 @end deffn
6950 @geindex Height (pyGHDL.cli.dom.Application property)
6951 @anchor{pyGHDL/pyGHDL cli dom pyGHDL cli dom Application Height}@anchor{1ce}
6952 @deffn {Property} Height:  int@footnote{https://docs.python.org/3.6/library/functions.html#int}
6954 Returns the current terminal window’s height.
6956 @*Return type: 
6957 int@footnote{https://docs.python.org/3.6/library/functions.html#int}
6959 @end deffn
6961 @geindex LogLevel (pyGHDL.cli.dom.Application property)
6962 @anchor{pyGHDL/pyGHDL cli dom pyGHDL cli dom Application LogLevel}@anchor{1cf}
6963 @deffn {Property} LogLevel:  pyTooling.TerminalUI.Severity
6965 Return the current minimal severity level for writing.
6967 @*Return type: 
6968 @code{Severity}
6970 @end deffn
6972 @geindex MainParser (pyGHDL.cli.dom.Application property)
6973 @anchor{pyGHDL/pyGHDL cli dom pyGHDL cli dom Application MainParser}@anchor{1d0}
6974 @deffn {Property} MainParser:  argparse.ArgumentParser@footnote{https://docs.python.org/3.6/library/argparse.html#argparse.ArgumentParser}
6976 Returns the main parser.
6978 @*Return type: 
6979 ArgumentParser@footnote{https://docs.python.org/3.6/library/argparse.html#argparse.ArgumentParser}
6981 @end deffn
6983 @geindex Quiet (pyGHDL.cli.dom.Application property)
6984 @anchor{pyGHDL/pyGHDL cli dom pyGHDL cli dom Application Quiet}@anchor{1d1}
6985 @deffn {Property} Quiet:  bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
6987 Returns true, if quiet mode is enabled.
6989 @*Return type: 
6990 bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
6992 @end deffn
6994 @geindex SubParsers (pyGHDL.cli.dom.Application property)
6995 @anchor{pyGHDL/pyGHDL cli dom pyGHDL cli dom Application SubParsers}@anchor{1d2}
6996 @deffn {Property} SubParsers
6998 Returns the sub-parsers.
6999 @end deffn
7001 @geindex Terminal (pyGHDL.cli.dom.Application property)
7002 @anchor{pyGHDL/pyGHDL cli dom pyGHDL cli dom Application Terminal}@anchor{1d3}
7003 @deffn {Property} Terminal:  pyTooling.TerminalUI.Terminal
7005 Return the local terminal instance.
7007 @*Return type: 
7008 @code{Terminal}
7010 @end deffn
7012 @geindex TryWriteLine() (pyGHDL.cli.dom.Application method)
7013 @anchor{pyGHDL/pyGHDL cli dom pyGHDL cli dom Application TryWriteLine}@anchor{1d4}
7014 @deffn {Method} TryWriteLine (line)
7016 @*Return type: 
7017 bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
7019 @end deffn
7021 @geindex Verbose (pyGHDL.cli.dom.Application property)
7022 @anchor{pyGHDL/pyGHDL cli dom pyGHDL cli dom Application Verbose}@anchor{1d5}
7023 @deffn {Property} Verbose:  bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
7025 Returns true, if verbose messages are enabled.
7027 @*Return type: 
7028 bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
7030 @end deffn
7032 @geindex Width (pyGHDL.cli.dom.Application property)
7033 @anchor{pyGHDL/pyGHDL cli dom pyGHDL cli dom Application Width}@anchor{1d6}
7034 @deffn {Property} Width:  int@footnote{https://docs.python.org/3.6/library/functions.html#int}
7036 Returns the current terminal window’s width.
7038 @*Return type: 
7039 int@footnote{https://docs.python.org/3.6/library/functions.html#int}
7041 @end deffn
7043 @geindex WriteDebug() (pyGHDL.cli.dom.Application method)
7044 @anchor{pyGHDL/pyGHDL cli dom pyGHDL cli dom Application WriteDebug}@anchor{1d7}
7045 @deffn {Method} WriteDebug (message, indent=2, appendLinebreak=True)
7047 Write a debug message if @code{condition} is true.
7048 @end deffn
7050 @geindex WriteDryRun() (pyGHDL.cli.dom.Application method)
7051 @anchor{pyGHDL/pyGHDL cli dom pyGHDL cli dom Application WriteDryRun}@anchor{1d8}
7052 @deffn {Method} WriteDryRun (message, indent=2, appendLinebreak=True)
7054 Write a dry-run message if @code{condition} is true.
7055 @end deffn
7057 @geindex WriteError() (pyGHDL.cli.dom.Application method)
7058 @anchor{pyGHDL/pyGHDL cli dom pyGHDL cli dom Application WriteError}@anchor{1d9}
7059 @deffn {Method} WriteError (message, indent=0, appendLinebreak=True)
7061 Write an error message if @code{condition} is true.
7062 @end deffn
7064 @geindex WriteFatal() (pyGHDL.cli.dom.Application method)
7065 @anchor{pyGHDL/pyGHDL cli dom pyGHDL cli dom Application WriteFatal}@anchor{1da}
7066 @deffn {Method} WriteFatal (message, indent=0, appendLinebreak=True, immediateExit=True)
7068 Write a fatal message if @code{condition} is true.
7069 @end deffn
7071 @geindex WriteInfo() (pyGHDL.cli.dom.Application method)
7072 @anchor{pyGHDL/pyGHDL cli dom pyGHDL cli dom Application WriteInfo}@anchor{1db}
7073 @deffn {Method} WriteInfo (message, indent=0, appendLinebreak=True)
7075 Write a info message if @code{condition} is true.
7076 @end deffn
7078 @geindex WriteLine() (pyGHDL.cli.dom.Application method)
7079 @anchor{pyGHDL/pyGHDL cli dom pyGHDL cli dom Application WriteLine}@anchor{1dc}
7080 @deffn {Method} WriteLine (line)
7082 Print a formatted line to the underlying terminal/console offered by the operating system.
7083 @end deffn
7085 @geindex WriteNormal() (pyGHDL.cli.dom.Application method)
7086 @anchor{pyGHDL/pyGHDL cli dom pyGHDL cli dom Application WriteNormal}@anchor{1dd}
7087 @deffn {Method} WriteNormal (message, indent=0, appendLinebreak=True)
7089 Write a @emph{normal} message if @code{condition} is true.
7090 @end deffn
7092 @geindex WriteQuiet() (pyGHDL.cli.dom.Application method)
7093 @anchor{pyGHDL/pyGHDL cli dom pyGHDL cli dom Application WriteQuiet}@anchor{1de}
7094 @deffn {Method} WriteQuiet (message, indent=0, appendLinebreak=True)
7096 Write a message even in quiet mode if @code{condition} is true.
7097 @end deffn
7099 @geindex WriteVerbose() (pyGHDL.cli.dom.Application method)
7100 @anchor{pyGHDL/pyGHDL cli dom pyGHDL cli dom Application WriteVerbose}@anchor{1df}
7101 @deffn {Method} WriteVerbose (message, indent=1, appendLinebreak=True)
7103 Write a verbose message if @code{condition} is true.
7104 @end deffn
7106 @geindex WriteWarning() (pyGHDL.cli.dom.Application method)
7107 @anchor{pyGHDL/pyGHDL cli dom pyGHDL cli dom Application WriteWarning}@anchor{1e0}
7108 @deffn {Method} WriteWarning (message, indent=0, appendLinebreak=True)
7110 Write a warning message if @code{condition} is true.
7111 @end deffn
7113 @geindex _EnabledAutoComplete() (pyGHDL.cli.dom.Application method)
7114 @anchor{pyGHDL/pyGHDL cli dom pyGHDL cli dom Application _EnabledAutoComplete}@anchor{1e1}
7115 @deffn {Method} _EnabledAutoComplete ()
7117 @*Return type: 
7118 None@footnote{https://docs.python.org/3.6/library/constants.html#None}
7120 @end deffn
7122 @geindex _LOG_MESSAGE_FORMAT__ (pyGHDL.cli.dom.Application attribute)
7123 @anchor{pyGHDL/pyGHDL cli dom pyGHDL cli dom Application _LOG_MESSAGE_FORMAT__}@anchor{1e2}
7124 @deffn {Attribute} _LOG_MESSAGE_FORMAT__  =  @{Severity.Debug: '@{DARK_GRAY@}@{message@}@{NOCOLOR@}', Severity.Verbose: '@{GRAY@}@{message@}@{NOCOLOR@}', Severity.Normal: '@{WHITE@}@{message@}@{NOCOLOR@}', Severity.DryRun: '@{DARK_CYAN@}[DRY] @{message@}@{NOCOLOR@}', Severity.Info: '@{WHITE@}@{message@}@{NOCOLOR@}', Severity.Warning: '@{YELLOW@}[WARNING]@{message@}@{NOCOLOR@}', Severity.Quiet: '@{WHITE@}@{message@}@{NOCOLOR@}', Severity.Error: '@{RED@}[ERROR] @{message@}@{NOCOLOR@}', Severity.Fatal: '@{DARK_RED@}[FATAL] @{message@}@{NOCOLOR@}'@}
7126 Message formatting rules.
7127 @end deffn
7129 @geindex _ParseArguments() (pyGHDL.cli.dom.Application method)
7130 @anchor{pyGHDL/pyGHDL cli dom pyGHDL cli dom Application _ParseArguments}@anchor{1e3}
7131 @deffn {Method} _ParseArguments ()
7133 @*Return type: 
7134 None@footnote{https://docs.python.org/3.6/library/constants.html#None}
7136 @end deffn
7138 @geindex _RouteToHandler() (pyGHDL.cli.dom.Application method)
7139 @anchor{pyGHDL/pyGHDL cli dom pyGHDL cli dom Application _RouteToHandler}@anchor{1e4}
7140 @deffn {Method} _RouteToHandler (args)
7142 @*Return type: 
7143 None@footnote{https://docs.python.org/3.6/library/constants.html#None}
7145 @end deffn
7147 @geindex _height (pyGHDL.cli.dom.Application attribute)
7148 @anchor{pyGHDL/pyGHDL cli dom pyGHDL cli dom Application _height}@anchor{1e5}
7149 @deffn {Attribute} _height:  int@footnote{https://docs.python.org/3.6/library/functions.html#int}  =  None
7151 Terminal height in characters
7152 @end deffn
7154 @geindex _terminal (pyGHDL.cli.dom.Application attribute)
7155 @anchor{pyGHDL/pyGHDL cli dom pyGHDL cli dom Application _terminal}@anchor{1e6}
7156 @deffn {Attribute} _terminal  =  None
7157 @end deffn
7159 @geindex _width (pyGHDL.cli.dom.Application attribute)
7160 @anchor{pyGHDL/pyGHDL cli dom pyGHDL cli dom Application _width}@anchor{1e7}
7161 @deffn {Attribute} _width:  int@footnote{https://docs.python.org/3.6/library/functions.html#int}  =  None
7163 Terminal width in characters
7164 @end deffn
7166 @geindex deinitColors() (pyGHDL.cli.dom.Application class method)
7167 @anchor{pyGHDL/pyGHDL cli dom pyGHDL cli dom Application deinitColors}@anchor{1e8}
7168 @deffn {Method} classmethod  deinitColors ()
7170 Uninitialize the terminal for color support by colorama.
7172 @*Return type: 
7173 None@footnote{https://docs.python.org/3.6/library/constants.html#None}
7175 @end deffn
7177 @geindex exit() (pyGHDL.cli.dom.Application class method)
7178 @anchor{pyGHDL/pyGHDL cli dom pyGHDL cli dom Application exit}@anchor{1e9}
7179 @deffn {Method} classmethod  exit (returnCode=0)
7181 Exit the terminal application by uninitializing color support and returning an exit code.
7183 @*Return type: 
7184 NoReturn@footnote{https://docs.python.org/3.6/library/typing.html#typing.NoReturn}
7186 @end deffn
7188 @geindex fatalExit() (pyGHDL.cli.dom.Application class method)
7189 @anchor{pyGHDL/pyGHDL cli dom pyGHDL cli dom Application fatalExit}@anchor{1ea}
7190 @deffn {Method} classmethod  fatalExit (returnCode=0)
7192 Exit the terminal application by uninitializing color support and returning a fatal exit code.
7194 @*Return type: 
7195 NoReturn@footnote{https://docs.python.org/3.6/library/typing.html#typing.NoReturn}
7197 @end deffn
7199 @geindex initColors() (pyGHDL.cli.dom.Application class method)
7200 @anchor{pyGHDL/pyGHDL cli dom pyGHDL cli dom Application initColors}@anchor{1eb}
7201 @deffn {Method} classmethod  initColors ()
7203 Initialize the terminal for color support by colorama.
7205 @*Return type: 
7206 None@footnote{https://docs.python.org/3.6/library/constants.html#None}
7208 @end deffn
7210 @geindex printException() (pyGHDL.cli.dom.Application class method)
7211 @anchor{pyGHDL/pyGHDL cli dom pyGHDL cli dom Application printException}@anchor{1ec}
7212 @deffn {Method} classmethod  printException (ex)
7214 Prints an exception of type Exception@footnote{https://docs.python.org/3.6/library/exceptions.html#Exception}.
7216 @*Return type: 
7217 NoReturn@footnote{https://docs.python.org/3.6/library/typing.html#typing.NoReturn}
7219 @end deffn
7221 @geindex printExceptionBase() (pyGHDL.cli.dom.Application class method)
7222 @anchor{pyGHDL/pyGHDL cli dom pyGHDL cli dom Application printExceptionBase}@anchor{1ed}
7223 @deffn {Method} classmethod  printExceptionBase (ex)
7225 @*Return type: 
7226 NoReturn@footnote{https://docs.python.org/3.6/library/typing.html#typing.NoReturn}
7228 @end deffn
7230 @geindex printNotImplementedError() (pyGHDL.cli.dom.Application class method)
7231 @anchor{pyGHDL/pyGHDL cli dom pyGHDL cli dom Application printNotImplementedError}@anchor{1ee}
7232 @deffn {Method} classmethod  printNotImplementedError (ex)
7234 Prints a not-implemented exception of type NotImplementedError@footnote{https://docs.python.org/3.6/library/exceptions.html#NotImplementedError}.
7236 @*Return type: 
7237 NoReturn@footnote{https://docs.python.org/3.6/library/typing.html#typing.NoReturn}
7239 @end deffn
7241 @geindex versionCheck() (pyGHDL.cli.dom.Application class method)
7242 @anchor{pyGHDL/pyGHDL cli dom pyGHDL cli dom Application versionCheck}@anchor{1ef}
7243 @deffn {Method} classmethod  versionCheck (version)
7245 Check if the used Python interpreter fulfills the minimum version requirements.
7247 @*Return type: 
7248 None@footnote{https://docs.python.org/3.6/library/constants.html#None}
7250 @end deffn
7252 @geindex __mainParser (pyGHDL.cli.dom.Application attribute)
7253 @anchor{pyGHDL/pyGHDL cli dom pyGHDL cli dom Application __mainParser}@anchor{1f0}
7254 @deffn {Attribute} __mainParser:  ArgumentParser
7255 @end deffn
7256 @end deffn
7258 @c # Load pre-defined aliases and graphical characters like © from docutils
7259 @c # <file> is used to denote the special path
7260 @c # <Python>\Lib\site-packages\docutils\parsers\rst\include
7262 @c This data file has been placed in the public domain.
7264 @c Derived from the Unicode character mappings available from
7265 @c <http://www.w3.org/2003/entities/xml/>.
7266 @c Processed by unicode2rstsubs.py, part of Docutils:
7267 @c <http://docutils.sourceforge.net>.
7269 @c This data file has been placed in the public domain.
7271 @c Derived from the Unicode character mappings available from
7272 @c <http://www.w3.org/2003/entities/xml/>.
7273 @c Processed by unicode2rstsubs.py, part of Docutils:
7274 @c <http://docutils.sourceforge.net>.
7276 @c # define a hard line break for HTML
7278 @node pyGHDL cli lsp,,pyGHDL cli dom,pyGHDL cli
7279 @anchor{pyGHDL/pyGHDL cli lsp doc}@anchor{1f1}@anchor{pyGHDL/pyGHDL cli lsp module-pyGHDL cli lsp}@anchor{3}@anchor{pyGHDL/pyGHDL cli lsp pyghdl-cli-lsp}@anchor{1f2}
7280 @subsection pyGHDL.cli.lsp
7283 @geindex module; pyGHDL.cli.lsp
7285 @c #-----------------------------------
7287 @strong{Functions}
7290 @itemize -
7292 @item 
7293 @ref{1f3,,main()}:
7294 Entrypoint of GHDL’s Language Protocol Server.
7295 @end itemize
7297 @c #-----------------------------------
7299 @strong{Functions}
7301 @geindex main() (in module pyGHDL.cli.lsp)
7302 @anchor{pyGHDL/pyGHDL cli lsp pyGHDL cli lsp main}@anchor{1f3}
7303 @deffn {Function} pyGHDL.cli.lsp.main ()
7305 Entrypoint of GHDL’s Language Protocol Server.
7306 @end deffn
7308 @c #-----------------------------------
7310 @c # Load pre-defined aliases and graphical characters like © from docutils
7311 @c # <file> is used to denote the special path
7312 @c # <Python>\Lib\site-packages\docutils\parsers\rst\include
7314 @c This data file has been placed in the public domain.
7316 @c Derived from the Unicode character mappings available from
7317 @c <http://www.w3.org/2003/entities/xml/>.
7318 @c Processed by unicode2rstsubs.py, part of Docutils:
7319 @c <http://docutils.sourceforge.net>.
7321 @c This data file has been placed in the public domain.
7323 @c Derived from the Unicode character mappings available from
7324 @c <http://www.w3.org/2003/entities/xml/>.
7325 @c Processed by unicode2rstsubs.py, part of Docutils:
7326 @c <http://docutils.sourceforge.net>.
7328 @c # define a hard line break for HTML
7330 @node pyGHDL dom,pyGHDL libghdl,pyGHDL cli,pyGHDL
7331 @anchor{pyGHDL/pyGHDL dom doc}@anchor{1f4}@anchor{pyGHDL/pyGHDL dom module-pyGHDL dom}@anchor{4}@anchor{pyGHDL/pyGHDL dom pyghdl-dom}@anchor{1f5}
7332 @section pyGHDL.dom
7335 @geindex module; pyGHDL.dom
7337 @c #-----------------------------------
7339 @strong{Submodules}
7341 @c # Load pre-defined aliases and graphical characters like © from docutils
7342 @c # <file> is used to denote the special path
7343 @c # <Python>\Lib\site-packages\docutils\parsers\rst\include
7345 @c This data file has been placed in the public domain.
7347 @c Derived from the Unicode character mappings available from
7348 @c <http://www.w3.org/2003/entities/xml/>.
7349 @c Processed by unicode2rstsubs.py, part of Docutils:
7350 @c <http://docutils.sourceforge.net>.
7352 @c This data file has been placed in the public domain.
7354 @c Derived from the Unicode character mappings available from
7355 @c <http://www.w3.org/2003/entities/xml/>.
7356 @c Processed by unicode2rstsubs.py, part of Docutils:
7357 @c <http://docutils.sourceforge.net>.
7359 @c # define a hard line break for HTML
7361 @menu
7362 * pyGHDL.dom.Aggregates: pyGHDL dom Aggregates. 
7363 * pyGHDL.dom.Attribute: pyGHDL dom Attribute. 
7364 * pyGHDL.dom.Concurrent: pyGHDL dom Concurrent. 
7365 * pyGHDL.dom.DesignUnit: pyGHDL dom DesignUnit. 
7366 * pyGHDL.dom.Expression: pyGHDL dom Expression. 
7367 * pyGHDL.dom.InterfaceItem: pyGHDL dom InterfaceItem. 
7368 * pyGHDL.dom.Literal: pyGHDL dom Literal. 
7369 * pyGHDL.dom.Misc: pyGHDL dom Misc. 
7370 * pyGHDL.dom.Names: pyGHDL dom Names. 
7371 * pyGHDL.dom.NonStandard: pyGHDL dom NonStandard. 
7372 * pyGHDL.dom.Object: pyGHDL dom Object. 
7373 * pyGHDL.dom.PSL: pyGHDL dom PSL. 
7374 * pyGHDL.dom.Range: pyGHDL dom Range. 
7375 * pyGHDL.dom.Sequential: pyGHDL dom Sequential. 
7376 * pyGHDL.dom.Subprogram: pyGHDL dom Subprogram. 
7377 * pyGHDL.dom.Symbol: pyGHDL dom Symbol. 
7378 * pyGHDL.dom.Type: pyGHDL dom Type. 
7379 * pyGHDL.dom._Translate: pyGHDL dom _Translate. 
7380 * pyGHDL.dom._Utils: pyGHDL dom _Utils. 
7381 * pyGHDL.dom.formatting: pyGHDL dom formatting. 
7383 @end menu
7385 @node pyGHDL dom Aggregates,pyGHDL dom Attribute,,pyGHDL dom
7386 @anchor{pyGHDL/pyGHDL dom Aggregates doc}@anchor{1f6}@anchor{pyGHDL/pyGHDL dom Aggregates module-pyGHDL dom Aggregates}@anchor{7}@anchor{pyGHDL/pyGHDL dom Aggregates pyghdl-dom-aggregates}@anchor{1f7}
7387 @subsection pyGHDL.dom.Aggregates
7390 @geindex module; pyGHDL.dom.Aggregates
7392 This module contains all DOM classes for VHDL’s design units (@code{context},
7393 @code{architecture}, @code{package},
7394 @code{package body}, @code{context} and
7395 @code{configuration}.
7397 @c #-----------------------------------
7399 @strong{Classes}
7402 @itemize -
7404 @item 
7405 @ref{1f8,,SimpleAggregateElement}:
7406 A @code{AggregateElement} is a base-class for all aggregate elements.
7408 @item 
7409 @ref{1f9,,IndexedAggregateElement}:
7410 A @code{AggregateElement} is a base-class for all aggregate elements.
7412 @item 
7413 @ref{1fa,,RangedAggregateElement}:
7414 A @code{AggregateElement} is a base-class for all aggregate elements.
7416 @item 
7417 @ref{1fb,,NamedAggregateElement}:
7418 A @code{AggregateElement} is a base-class for all aggregate elements.
7420 @item 
7421 @ref{1fc,,OthersAggregateElement}:
7422 A @code{AggregateElement} is a base-class for all aggregate elements.
7423 @end itemize
7425 @c #-----------------------------------
7427 @geindex SimpleAggregateElement (class in pyGHDL.dom.Aggregates)
7428 @anchor{pyGHDL/pyGHDL dom Aggregates pyGHDL dom Aggregates SimpleAggregateElement}@anchor{1f8}
7429 @deffn {Class} pyGHDL.dom.Aggregates.SimpleAggregateElement (node, expression)
7431 @subsubheading Inheritance
7433 @image{inheritance-094d035d8ac6263a8d2280282a3fcae0147d7cbb,,,[graphviz],png}
7435 @subsubheading Members
7438 @geindex Expression (pyGHDL.dom.Aggregates.SimpleAggregateElement property)
7439 @anchor{pyGHDL/pyGHDL dom Aggregates pyGHDL dom Aggregates SimpleAggregateElement Expression}@anchor{1fd}
7440 @deffn {Property} Expression
7441 @end deffn
7443 @geindex Parent (pyGHDL.dom.Aggregates.SimpleAggregateElement property)
7444 @anchor{pyGHDL/pyGHDL dom Aggregates pyGHDL dom Aggregates SimpleAggregateElement Parent}@anchor{1fe}
7445 @deffn {Property} Parent:  pyVHDLModel.ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
7447 Returns a reference to the parent entity.
7449 @*Return type: 
7450 ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
7452 @end deffn
7454 @geindex Position (pyGHDL.dom.Aggregates.SimpleAggregateElement property)
7455 @anchor{pyGHDL/pyGHDL dom Aggregates pyGHDL dom Aggregates SimpleAggregateElement Position}@anchor{1ff}
7456 @deffn {Property} Position:  @ref{200,,pyGHDL.dom.Position}
7458 @*Return type: 
7459 @ref{200,,Position}
7461 @end deffn
7463 @geindex _position (pyGHDL.dom.Aggregates.SimpleAggregateElement attribute)
7464 @anchor{pyGHDL/pyGHDL dom Aggregates pyGHDL dom Aggregates SimpleAggregateElement _position}@anchor{201}
7465 @deffn {Attribute} _position:  @ref{200,,Position}  =  None
7466 @end deffn
7468 @geindex _expression (pyGHDL.dom.Aggregates.SimpleAggregateElement attribute)
7469 @anchor{pyGHDL/pyGHDL dom Aggregates pyGHDL dom Aggregates SimpleAggregateElement _expression}@anchor{202}
7470 @deffn {Attribute} _expression:  Union[BaseExpression,  @ref{203,,QualifiedExpression},  @ref{204,,FunctionCall},  @ref{205,,TypeConversion},  @ref{206,,Constant},  ConstantSymbol,  @ref{207,,Variable},  VariableSymbol,  @ref{208,,Signal},  SignalSymbol,  Literal]
7471 @end deffn
7473 @geindex _parent (pyGHDL.dom.Aggregates.SimpleAggregateElement attribute)
7474 @anchor{pyGHDL/pyGHDL dom Aggregates pyGHDL dom Aggregates SimpleAggregateElement _parent}@anchor{209}
7475 @deffn {Attribute} _parent:  ModelEntity
7477 Reference to a parent entity in the model.
7478 @end deffn
7480 @geindex _iirNode (pyGHDL.dom.Aggregates.SimpleAggregateElement attribute)
7481 @anchor{pyGHDL/pyGHDL dom Aggregates pyGHDL dom Aggregates SimpleAggregateElement _iirNode}@anchor{20a}
7482 @deffn {Attribute} _iirNode:  Iir
7483 @end deffn
7484 @end deffn
7486 @geindex IndexedAggregateElement (class in pyGHDL.dom.Aggregates)
7487 @anchor{pyGHDL/pyGHDL dom Aggregates pyGHDL dom Aggregates IndexedAggregateElement}@anchor{1f9}
7488 @deffn {Class} pyGHDL.dom.Aggregates.IndexedAggregateElement (node, index, expression)
7490 @subsubheading Inheritance
7492 @image{inheritance-fab95bc7356bae521e3948f44b940b59c8d99d71,,,[graphviz],png}
7494 @subsubheading Members
7497 @geindex Expression (pyGHDL.dom.Aggregates.IndexedAggregateElement property)
7498 @anchor{pyGHDL/pyGHDL dom Aggregates pyGHDL dom Aggregates IndexedAggregateElement Expression}@anchor{20b}
7499 @deffn {Property} Expression
7500 @end deffn
7502 @geindex Index (pyGHDL.dom.Aggregates.IndexedAggregateElement property)
7503 @anchor{pyGHDL/pyGHDL dom Aggregates pyGHDL dom Aggregates IndexedAggregateElement Index}@anchor{20c}
7504 @deffn {Property} Index:  int@footnote{https://docs.python.org/3.6/library/functions.html#int}
7506 @*Return type: 
7507 int@footnote{https://docs.python.org/3.6/library/functions.html#int}
7509 @end deffn
7511 @geindex Parent (pyGHDL.dom.Aggregates.IndexedAggregateElement property)
7512 @anchor{pyGHDL/pyGHDL dom Aggregates pyGHDL dom Aggregates IndexedAggregateElement Parent}@anchor{20d}
7513 @deffn {Property} Parent:  pyVHDLModel.ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
7515 Returns a reference to the parent entity.
7517 @*Return type: 
7518 ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
7520 @end deffn
7522 @geindex Position (pyGHDL.dom.Aggregates.IndexedAggregateElement property)
7523 @anchor{pyGHDL/pyGHDL dom Aggregates pyGHDL dom Aggregates IndexedAggregateElement Position}@anchor{20e}
7524 @deffn {Property} Position:  @ref{200,,pyGHDL.dom.Position}
7526 @*Return type: 
7527 @ref{200,,Position}
7529 @end deffn
7531 @geindex _position (pyGHDL.dom.Aggregates.IndexedAggregateElement attribute)
7532 @anchor{pyGHDL/pyGHDL dom Aggregates pyGHDL dom Aggregates IndexedAggregateElement _position}@anchor{20f}
7533 @deffn {Attribute} _position:  @ref{200,,Position}  =  None
7534 @end deffn
7536 @geindex _index (pyGHDL.dom.Aggregates.IndexedAggregateElement attribute)
7537 @anchor{pyGHDL/pyGHDL dom Aggregates pyGHDL dom Aggregates IndexedAggregateElement _index}@anchor{210}
7538 @deffn {Attribute} _index:  int@footnote{https://docs.python.org/3.6/library/functions.html#int}
7539 @end deffn
7541 @geindex _expression (pyGHDL.dom.Aggregates.IndexedAggregateElement attribute)
7542 @anchor{pyGHDL/pyGHDL dom Aggregates pyGHDL dom Aggregates IndexedAggregateElement _expression}@anchor{211}
7543 @deffn {Attribute} _expression:  ExpressionUnion
7544 @end deffn
7546 @geindex _parent (pyGHDL.dom.Aggregates.IndexedAggregateElement attribute)
7547 @anchor{pyGHDL/pyGHDL dom Aggregates pyGHDL dom Aggregates IndexedAggregateElement _parent}@anchor{212}
7548 @deffn {Attribute} _parent:  ModelEntity
7550 Reference to a parent entity in the model.
7551 @end deffn
7553 @geindex _iirNode (pyGHDL.dom.Aggregates.IndexedAggregateElement attribute)
7554 @anchor{pyGHDL/pyGHDL dom Aggregates pyGHDL dom Aggregates IndexedAggregateElement _iirNode}@anchor{213}
7555 @deffn {Attribute} _iirNode:  Iir
7556 @end deffn
7557 @end deffn
7559 @geindex RangedAggregateElement (class in pyGHDL.dom.Aggregates)
7560 @anchor{pyGHDL/pyGHDL dom Aggregates pyGHDL dom Aggregates RangedAggregateElement}@anchor{1fa}
7561 @deffn {Class} pyGHDL.dom.Aggregates.RangedAggregateElement (node, rng, expression)
7563 @subsubheading Inheritance
7565 @image{inheritance-400bb08caf111e6fdeddb2d6eae4ecd3c34a8112,,,[graphviz],png}
7567 @subsubheading Members
7570 @geindex Expression (pyGHDL.dom.Aggregates.RangedAggregateElement property)
7571 @anchor{pyGHDL/pyGHDL dom Aggregates pyGHDL dom Aggregates RangedAggregateElement Expression}@anchor{214}
7572 @deffn {Property} Expression
7573 @end deffn
7575 @geindex Parent (pyGHDL.dom.Aggregates.RangedAggregateElement property)
7576 @anchor{pyGHDL/pyGHDL dom Aggregates pyGHDL dom Aggregates RangedAggregateElement Parent}@anchor{215}
7577 @deffn {Property} Parent:  pyVHDLModel.ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
7579 Returns a reference to the parent entity.
7581 @*Return type: 
7582 ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
7584 @end deffn
7586 @geindex Position (pyGHDL.dom.Aggregates.RangedAggregateElement property)
7587 @anchor{pyGHDL/pyGHDL dom Aggregates pyGHDL dom Aggregates RangedAggregateElement Position}@anchor{216}
7588 @deffn {Property} Position:  @ref{200,,pyGHDL.dom.Position}
7590 @*Return type: 
7591 @ref{200,,Position}
7593 @end deffn
7595 @geindex Range (pyGHDL.dom.Aggregates.RangedAggregateElement property)
7596 @anchor{pyGHDL/pyGHDL dom Aggregates pyGHDL dom Aggregates RangedAggregateElement Range}@anchor{217}
7597 @deffn {Property} Range:  pyVHDLModel.SyntaxModel.Range@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Range}
7599 @*Return type: 
7600 Range@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Range}
7602 @end deffn
7604 @geindex _position (pyGHDL.dom.Aggregates.RangedAggregateElement attribute)
7605 @anchor{pyGHDL/pyGHDL dom Aggregates pyGHDL dom Aggregates RangedAggregateElement _position}@anchor{218}
7606 @deffn {Attribute} _position:  @ref{200,,Position}  =  None
7607 @end deffn
7609 @geindex _range (pyGHDL.dom.Aggregates.RangedAggregateElement attribute)
7610 @anchor{pyGHDL/pyGHDL dom Aggregates pyGHDL dom Aggregates RangedAggregateElement _range}@anchor{219}
7611 @deffn {Attribute} _range:  @ref{21a,,Range}
7612 @end deffn
7614 @geindex _expression (pyGHDL.dom.Aggregates.RangedAggregateElement attribute)
7615 @anchor{pyGHDL/pyGHDL dom Aggregates pyGHDL dom Aggregates RangedAggregateElement _expression}@anchor{21b}
7616 @deffn {Attribute} _expression:  ExpressionUnion
7617 @end deffn
7619 @geindex _parent (pyGHDL.dom.Aggregates.RangedAggregateElement attribute)
7620 @anchor{pyGHDL/pyGHDL dom Aggregates pyGHDL dom Aggregates RangedAggregateElement _parent}@anchor{21c}
7621 @deffn {Attribute} _parent:  ModelEntity
7623 Reference to a parent entity in the model.
7624 @end deffn
7626 @geindex _iirNode (pyGHDL.dom.Aggregates.RangedAggregateElement attribute)
7627 @anchor{pyGHDL/pyGHDL dom Aggregates pyGHDL dom Aggregates RangedAggregateElement _iirNode}@anchor{21d}
7628 @deffn {Attribute} _iirNode:  Iir
7629 @end deffn
7630 @end deffn
7632 @geindex NamedAggregateElement (class in pyGHDL.dom.Aggregates)
7633 @anchor{pyGHDL/pyGHDL dom Aggregates pyGHDL dom Aggregates NamedAggregateElement}@anchor{1fb}
7634 @deffn {Class} pyGHDL.dom.Aggregates.NamedAggregateElement (node, name, expression)
7636 @subsubheading Inheritance
7638 @image{inheritance-9a6481fcf200cfa752c3fe259793c105b1a4c2cd,,,[graphviz],png}
7640 @subsubheading Members
7643 @geindex Expression (pyGHDL.dom.Aggregates.NamedAggregateElement property)
7644 @anchor{pyGHDL/pyGHDL dom Aggregates pyGHDL dom Aggregates NamedAggregateElement Expression}@anchor{21e}
7645 @deffn {Property} Expression
7646 @end deffn
7648 @geindex Name (pyGHDL.dom.Aggregates.NamedAggregateElement property)
7649 @anchor{pyGHDL/pyGHDL dom Aggregates pyGHDL dom Aggregates NamedAggregateElement Name}@anchor{21f}
7650 @deffn {Property} Name:  pyVHDLModel.SyntaxModel.Symbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Symbol}
7652 @*Return type: 
7653 Symbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Symbol}
7655 @end deffn
7657 @geindex Parent (pyGHDL.dom.Aggregates.NamedAggregateElement property)
7658 @anchor{pyGHDL/pyGHDL dom Aggregates pyGHDL dom Aggregates NamedAggregateElement Parent}@anchor{220}
7659 @deffn {Property} Parent:  pyVHDLModel.ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
7661 Returns a reference to the parent entity.
7663 @*Return type: 
7664 ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
7666 @end deffn
7668 @geindex Position (pyGHDL.dom.Aggregates.NamedAggregateElement property)
7669 @anchor{pyGHDL/pyGHDL dom Aggregates pyGHDL dom Aggregates NamedAggregateElement Position}@anchor{221}
7670 @deffn {Property} Position:  @ref{200,,pyGHDL.dom.Position}
7672 @*Return type: 
7673 @ref{200,,Position}
7675 @end deffn
7677 @geindex _position (pyGHDL.dom.Aggregates.NamedAggregateElement attribute)
7678 @anchor{pyGHDL/pyGHDL dom Aggregates pyGHDL dom Aggregates NamedAggregateElement _position}@anchor{222}
7679 @deffn {Attribute} _position:  @ref{200,,Position}  =  None
7680 @end deffn
7682 @geindex _name (pyGHDL.dom.Aggregates.NamedAggregateElement attribute)
7683 @anchor{pyGHDL/pyGHDL dom Aggregates pyGHDL dom Aggregates NamedAggregateElement _name}@anchor{223}
7684 @deffn {Attribute} _name:  pyVHDLModel.SyntaxModel.Symbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Symbol}
7685 @end deffn
7687 @geindex _expression (pyGHDL.dom.Aggregates.NamedAggregateElement attribute)
7688 @anchor{pyGHDL/pyGHDL dom Aggregates pyGHDL dom Aggregates NamedAggregateElement _expression}@anchor{224}
7689 @deffn {Attribute} _expression:  ExpressionUnion
7690 @end deffn
7692 @geindex _parent (pyGHDL.dom.Aggregates.NamedAggregateElement attribute)
7693 @anchor{pyGHDL/pyGHDL dom Aggregates pyGHDL dom Aggregates NamedAggregateElement _parent}@anchor{225}
7694 @deffn {Attribute} _parent:  ModelEntity
7696 Reference to a parent entity in the model.
7697 @end deffn
7699 @geindex _iirNode (pyGHDL.dom.Aggregates.NamedAggregateElement attribute)
7700 @anchor{pyGHDL/pyGHDL dom Aggregates pyGHDL dom Aggregates NamedAggregateElement _iirNode}@anchor{226}
7701 @deffn {Attribute} _iirNode:  Iir
7702 @end deffn
7703 @end deffn
7705 @geindex OthersAggregateElement (class in pyGHDL.dom.Aggregates)
7706 @anchor{pyGHDL/pyGHDL dom Aggregates pyGHDL dom Aggregates OthersAggregateElement}@anchor{1fc}
7707 @deffn {Class} pyGHDL.dom.Aggregates.OthersAggregateElement (node, expression)
7709 @subsubheading Inheritance
7711 @image{inheritance-e573fcf918da4f333a6d5ddfbd0f00b8ee3260c6,,,[graphviz],png}
7713 @subsubheading Members
7716 @geindex Expression (pyGHDL.dom.Aggregates.OthersAggregateElement property)
7717 @anchor{pyGHDL/pyGHDL dom Aggregates pyGHDL dom Aggregates OthersAggregateElement Expression}@anchor{227}
7718 @deffn {Property} Expression
7719 @end deffn
7721 @geindex Parent (pyGHDL.dom.Aggregates.OthersAggregateElement property)
7722 @anchor{pyGHDL/pyGHDL dom Aggregates pyGHDL dom Aggregates OthersAggregateElement Parent}@anchor{228}
7723 @deffn {Property} Parent:  pyVHDLModel.ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
7725 Returns a reference to the parent entity.
7727 @*Return type: 
7728 ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
7730 @end deffn
7732 @geindex Position (pyGHDL.dom.Aggregates.OthersAggregateElement property)
7733 @anchor{pyGHDL/pyGHDL dom Aggregates pyGHDL dom Aggregates OthersAggregateElement Position}@anchor{229}
7734 @deffn {Property} Position:  @ref{200,,pyGHDL.dom.Position}
7736 @*Return type: 
7737 @ref{200,,Position}
7739 @end deffn
7741 @geindex _position (pyGHDL.dom.Aggregates.OthersAggregateElement attribute)
7742 @anchor{pyGHDL/pyGHDL dom Aggregates pyGHDL dom Aggregates OthersAggregateElement _position}@anchor{22a}
7743 @deffn {Attribute} _position:  @ref{200,,Position}  =  None
7744 @end deffn
7746 @geindex _expression (pyGHDL.dom.Aggregates.OthersAggregateElement attribute)
7747 @anchor{pyGHDL/pyGHDL dom Aggregates pyGHDL dom Aggregates OthersAggregateElement _expression}@anchor{22b}
7748 @deffn {Attribute} _expression:  Union[BaseExpression,  @ref{203,,QualifiedExpression},  @ref{204,,FunctionCall},  @ref{205,,TypeConversion},  @ref{206,,Constant},  ConstantSymbol,  @ref{207,,Variable},  VariableSymbol,  @ref{208,,Signal},  SignalSymbol,  Literal]
7749 @end deffn
7751 @geindex _parent (pyGHDL.dom.Aggregates.OthersAggregateElement attribute)
7752 @anchor{pyGHDL/pyGHDL dom Aggregates pyGHDL dom Aggregates OthersAggregateElement _parent}@anchor{22c}
7753 @deffn {Attribute} _parent:  ModelEntity
7755 Reference to a parent entity in the model.
7756 @end deffn
7758 @geindex _iirNode (pyGHDL.dom.Aggregates.OthersAggregateElement attribute)
7759 @anchor{pyGHDL/pyGHDL dom Aggregates pyGHDL dom Aggregates OthersAggregateElement _iirNode}@anchor{22d}
7760 @deffn {Attribute} _iirNode:  Iir
7761 @end deffn
7762 @end deffn
7764 @c # Load pre-defined aliases and graphical characters like © from docutils
7765 @c # <file> is used to denote the special path
7766 @c # <Python>\Lib\site-packages\docutils\parsers\rst\include
7768 @c This data file has been placed in the public domain.
7770 @c Derived from the Unicode character mappings available from
7771 @c <http://www.w3.org/2003/entities/xml/>.
7772 @c Processed by unicode2rstsubs.py, part of Docutils:
7773 @c <http://docutils.sourceforge.net>.
7775 @c This data file has been placed in the public domain.
7777 @c Derived from the Unicode character mappings available from
7778 @c <http://www.w3.org/2003/entities/xml/>.
7779 @c Processed by unicode2rstsubs.py, part of Docutils:
7780 @c <http://docutils.sourceforge.net>.
7782 @c # define a hard line break for HTML
7784 @node pyGHDL dom Attribute,pyGHDL dom Concurrent,pyGHDL dom Aggregates,pyGHDL dom
7785 @anchor{pyGHDL/pyGHDL dom Attribute doc}@anchor{22e}@anchor{pyGHDL/pyGHDL dom Attribute module-pyGHDL dom Attribute}@anchor{8}@anchor{pyGHDL/pyGHDL dom Attribute pyghdl-dom-attribute}@anchor{22f}
7786 @subsection pyGHDL.dom.Attribute
7789 @geindex module; pyGHDL.dom.Attribute
7791 @c #-----------------------------------
7793 @strong{Classes}
7796 @itemize -
7798 @item 
7799 @ref{230,,Attribute}:
7800 @code{ModelEntity} is the base class for all classes in the VHDL language model,
7802 @item 
7803 @ref{231,,AttributeSpecification}:
7804 @code{ModelEntity} is the base class for all classes in the VHDL language model,
7805 @end itemize
7807 @c #-----------------------------------
7809 @geindex Attribute (class in pyGHDL.dom.Attribute)
7810 @anchor{pyGHDL/pyGHDL dom Attribute pyGHDL dom Attribute Attribute}@anchor{230}
7811 @deffn {Class} pyGHDL.dom.Attribute.Attribute (node, identifier, subtype)
7813 @subsubheading Inheritance
7815 @image{inheritance-e9f9fcfef112eb79a427bce041118413269950ce,,,[graphviz],png}
7817 @subsubheading Members
7820 @geindex parse() (pyGHDL.dom.Attribute.Attribute class method)
7821 @anchor{pyGHDL/pyGHDL dom Attribute pyGHDL dom Attribute Attribute parse}@anchor{232}
7822 @deffn {Method} classmethod  parse (attributeNode)
7824 @*Return type: 
7825 @ref{230,,Attribute}
7827 @end deffn
7829 @geindex Identifier (pyGHDL.dom.Attribute.Attribute property)
7830 @anchor{pyGHDL/pyGHDL dom Attribute pyGHDL dom Attribute Attribute Identifier}@anchor{233}
7831 @deffn {Property} Identifier:  str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
7833 Returns a model entity’s identifier (name).
7835 @*Return type: 
7836 str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
7838 @end deffn
7840 @geindex Parent (pyGHDL.dom.Attribute.Attribute property)
7841 @anchor{pyGHDL/pyGHDL dom Attribute pyGHDL dom Attribute Attribute Parent}@anchor{234}
7842 @deffn {Property} Parent:  pyVHDLModel.ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
7844 Returns a reference to the parent entity.
7846 @*Return type: 
7847 ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
7849 @end deffn
7851 @geindex Position (pyGHDL.dom.Attribute.Attribute property)
7852 @anchor{pyGHDL/pyGHDL dom Attribute pyGHDL dom Attribute Attribute Position}@anchor{235}
7853 @deffn {Property} Position:  @ref{200,,pyGHDL.dom.Position}
7855 @*Return type: 
7856 @ref{200,,Position}
7858 @end deffn
7860 @geindex Subtype (pyGHDL.dom.Attribute.Attribute property)
7861 @anchor{pyGHDL/pyGHDL dom Attribute pyGHDL dom Attribute Attribute Subtype}@anchor{236}
7862 @deffn {Property} Subtype
7863 @end deffn
7865 @geindex _position (pyGHDL.dom.Attribute.Attribute attribute)
7866 @anchor{pyGHDL/pyGHDL dom Attribute pyGHDL dom Attribute Attribute _position}@anchor{237}
7867 @deffn {Attribute} _position:  @ref{200,,Position}  =  None
7868 @end deffn
7870 @geindex _subtype (pyGHDL.dom.Attribute.Attribute attribute)
7871 @anchor{pyGHDL/pyGHDL dom Attribute pyGHDL dom Attribute Attribute _subtype}@anchor{238}
7872 @deffn {Attribute} _subtype:  Union[@ref{239,,Subtype},  SubtypeSymbol]
7873 @end deffn
7875 @geindex _parent (pyGHDL.dom.Attribute.Attribute attribute)
7876 @anchor{pyGHDL/pyGHDL dom Attribute pyGHDL dom Attribute Attribute _parent}@anchor{23a}
7877 @deffn {Attribute} _parent:  ModelEntity
7879 Reference to a parent entity in the model.
7880 @end deffn
7882 @geindex _identifier (pyGHDL.dom.Attribute.Attribute attribute)
7883 @anchor{pyGHDL/pyGHDL dom Attribute pyGHDL dom Attribute Attribute _identifier}@anchor{23b}
7884 @deffn {Attribute} _identifier:  str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
7886 The identifier of a model entity.
7887 @end deffn
7889 @geindex _iirNode (pyGHDL.dom.Attribute.Attribute attribute)
7890 @anchor{pyGHDL/pyGHDL dom Attribute pyGHDL dom Attribute Attribute _iirNode}@anchor{23c}
7891 @deffn {Attribute} _iirNode:  Iir
7892 @end deffn
7893 @end deffn
7895 @geindex AttributeSpecification (class in pyGHDL.dom.Attribute)
7896 @anchor{pyGHDL/pyGHDL dom Attribute pyGHDL dom Attribute AttributeSpecification}@anchor{231}
7897 @deffn {Class} pyGHDL.dom.Attribute.AttributeSpecification (node, identifiers, attribute, entityClass, expression)
7899 @subsubheading Inheritance
7901 @image{inheritance-8c59092819180816ebdb9591534a7408ef25f164,,,[graphviz],png}
7903 @subsubheading Members
7906 @geindex parse() (pyGHDL.dom.Attribute.AttributeSpecification class method)
7907 @anchor{pyGHDL/pyGHDL dom Attribute pyGHDL dom Attribute AttributeSpecification parse}@anchor{23d}
7908 @deffn {Method} classmethod  parse (attributeNode)
7910 @*Return type: 
7911 @ref{231,,AttributeSpecification}
7913 @end deffn
7915 @geindex Attribute (pyGHDL.dom.Attribute.AttributeSpecification property)
7916 @anchor{pyGHDL/pyGHDL dom Attribute pyGHDL dom Attribute AttributeSpecification Attribute}@anchor{23e}
7917 @deffn {Property} Attribute:  pyVHDLModel.SyntaxModel.Name@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Name}
7919 @*Return type: 
7920 Name@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Name}
7922 @end deffn
7924 @geindex EntityClass (pyGHDL.dom.Attribute.AttributeSpecification property)
7925 @anchor{pyGHDL/pyGHDL dom Attribute pyGHDL dom Attribute AttributeSpecification EntityClass}@anchor{23f}
7926 @deffn {Property} EntityClass:  pyVHDLModel.EntityClass@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.EntityClass}
7928 @*Return type: 
7929 EntityClass@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.EntityClass}
7931 @end deffn
7933 @geindex Expression (pyGHDL.dom.Attribute.AttributeSpecification property)
7934 @anchor{pyGHDL/pyGHDL dom Attribute pyGHDL dom Attribute AttributeSpecification Expression}@anchor{240}
7935 @deffn {Property} Expression:  Union[BaseExpression,  @ref{203,,QualifiedExpression},  @ref{204,,FunctionCall},  @ref{205,,TypeConversion},  @ref{206,,Constant},  ConstantSymbol,  @ref{207,,Variable},  VariableSymbol,  @ref{208,,Signal},  SignalSymbol,  Literal]
7937 @*Return type: 
7938 Union@footnote{https://docs.python.org/3.6/library/typing.html#typing.Union}[BaseExpression@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.BaseExpression}, QualifiedExpression@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.QualifiedExpression}, FunctionCall@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.FunctionCall}, TypeConversion@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.TypeConversion}, Constant@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Constant}, ConstantSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.ConstantSymbol}, Variable@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Variable}, VariableSymbol, Signal@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Signal}, SignalSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.SignalSymbol}, @code{Literal}]
7940 @end deffn
7942 @geindex Identifiers (pyGHDL.dom.Attribute.AttributeSpecification property)
7943 @anchor{pyGHDL/pyGHDL dom Attribute pyGHDL dom Attribute AttributeSpecification Identifiers}@anchor{241}
7944 @deffn {Property} Identifiers:  List[pyVHDLModel.SyntaxModel.Name@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Name}]
7946 @*Return type: 
7947 List@footnote{https://docs.python.org/3.6/library/typing.html#typing.List}[Name@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Name}]
7949 @end deffn
7951 @geindex Parent (pyGHDL.dom.Attribute.AttributeSpecification property)
7952 @anchor{pyGHDL/pyGHDL dom Attribute pyGHDL dom Attribute AttributeSpecification Parent}@anchor{242}
7953 @deffn {Property} Parent:  pyVHDLModel.ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
7955 Returns a reference to the parent entity.
7957 @*Return type: 
7958 ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
7960 @end deffn
7962 @geindex Position (pyGHDL.dom.Attribute.AttributeSpecification property)
7963 @anchor{pyGHDL/pyGHDL dom Attribute pyGHDL dom Attribute AttributeSpecification Position}@anchor{243}
7964 @deffn {Property} Position:  @ref{200,,pyGHDL.dom.Position}
7966 @*Return type: 
7967 @ref{200,,Position}
7969 @end deffn
7971 @geindex _position (pyGHDL.dom.Attribute.AttributeSpecification attribute)
7972 @anchor{pyGHDL/pyGHDL dom Attribute pyGHDL dom Attribute AttributeSpecification _position}@anchor{244}
7973 @deffn {Attribute} _position:  @ref{200,,Position}  =  None
7974 @end deffn
7976 @geindex _identifiers (pyGHDL.dom.Attribute.AttributeSpecification attribute)
7977 @anchor{pyGHDL/pyGHDL dom Attribute pyGHDL dom Attribute AttributeSpecification _identifiers}@anchor{245}
7978 @deffn {Attribute} _identifiers:  List[pyVHDLModel.SyntaxModel.Name@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Name}]
7979 @end deffn
7981 @geindex _attribute (pyGHDL.dom.Attribute.AttributeSpecification attribute)
7982 @anchor{pyGHDL/pyGHDL dom Attribute pyGHDL dom Attribute AttributeSpecification _attribute}@anchor{246}
7983 @deffn {Attribute} _attribute:  pyVHDLModel.SyntaxModel.Name@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Name}
7984 @end deffn
7986 @geindex _entityClass (pyGHDL.dom.Attribute.AttributeSpecification attribute)
7987 @anchor{pyGHDL/pyGHDL dom Attribute pyGHDL dom Attribute AttributeSpecification _entityClass}@anchor{247}
7988 @deffn {Attribute} _entityClass:  pyVHDLModel.EntityClass@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.EntityClass}
7989 @end deffn
7991 @geindex _expression (pyGHDL.dom.Attribute.AttributeSpecification attribute)
7992 @anchor{pyGHDL/pyGHDL dom Attribute pyGHDL dom Attribute AttributeSpecification _expression}@anchor{248}
7993 @deffn {Attribute} _expression:  Union[BaseExpression,  @ref{203,,QualifiedExpression},  @ref{204,,FunctionCall},  @ref{205,,TypeConversion},  @ref{206,,Constant},  ConstantSymbol,  @ref{207,,Variable},  VariableSymbol,  @ref{208,,Signal},  SignalSymbol,  Literal]
7994 @end deffn
7996 @geindex _parent (pyGHDL.dom.Attribute.AttributeSpecification attribute)
7997 @anchor{pyGHDL/pyGHDL dom Attribute pyGHDL dom Attribute AttributeSpecification _parent}@anchor{249}
7998 @deffn {Attribute} _parent:  ModelEntity
8000 Reference to a parent entity in the model.
8001 @end deffn
8003 @geindex _iirNode (pyGHDL.dom.Attribute.AttributeSpecification attribute)
8004 @anchor{pyGHDL/pyGHDL dom Attribute pyGHDL dom Attribute AttributeSpecification _iirNode}@anchor{24a}
8005 @deffn {Attribute} _iirNode:  Iir
8006 @end deffn
8007 @end deffn
8009 @c # Load pre-defined aliases and graphical characters like © from docutils
8010 @c # <file> is used to denote the special path
8011 @c # <Python>\Lib\site-packages\docutils\parsers\rst\include
8013 @c This data file has been placed in the public domain.
8015 @c Derived from the Unicode character mappings available from
8016 @c <http://www.w3.org/2003/entities/xml/>.
8017 @c Processed by unicode2rstsubs.py, part of Docutils:
8018 @c <http://docutils.sourceforge.net>.
8020 @c This data file has been placed in the public domain.
8022 @c Derived from the Unicode character mappings available from
8023 @c <http://www.w3.org/2003/entities/xml/>.
8024 @c Processed by unicode2rstsubs.py, part of Docutils:
8025 @c <http://docutils.sourceforge.net>.
8027 @c # define a hard line break for HTML
8029 @node pyGHDL dom Concurrent,pyGHDL dom DesignUnit,pyGHDL dom Attribute,pyGHDL dom
8030 @anchor{pyGHDL/pyGHDL dom Concurrent doc}@anchor{24b}@anchor{pyGHDL/pyGHDL dom Concurrent module-pyGHDL dom Concurrent}@anchor{9}@anchor{pyGHDL/pyGHDL dom Concurrent pyghdl-dom-concurrent}@anchor{24c}
8031 @subsection pyGHDL.dom.Concurrent
8034 @geindex module; pyGHDL.dom.Concurrent
8036 @c #-----------------------------------
8038 @strong{Classes}
8041 @itemize -
8043 @item 
8044 @ref{24d,,GenericAssociationItem}:
8045 @code{ModelEntity} is the base class for all classes in the VHDL language model,
8047 @item 
8048 @ref{24e,,PortAssociationItem}:
8049 @code{ModelEntity} is the base class for all classes in the VHDL language model,
8051 @item 
8052 @ref{24f,,ParameterAssociationItem}:
8053 @code{ModelEntity} is the base class for all classes in the VHDL language model,
8055 @item 
8056 @ref{250,,ComponentInstantiation}:
8057 A @code{ConcurrentStatement} is a base-class for all concurrent statements.
8059 @item 
8060 @ref{251,,EntityInstantiation}:
8061 A @code{ConcurrentStatement} is a base-class for all concurrent statements.
8063 @item 
8064 @ref{252,,ConfigurationInstantiation}:
8065 A @code{ConcurrentStatement} is a base-class for all concurrent statements.
8067 @item 
8068 @ref{253,,ConcurrentBlockStatement}:
8069 A @code{ConcurrentStatement} is a base-class for all concurrent statements.
8071 @item 
8072 @ref{254,,ProcessStatement}:
8073 A @code{ConcurrentStatement} is a base-class for all concurrent statements.
8075 @item 
8076 @ref{255,,IfGenerateBranch}:
8077 A @code{GenerateBranch} is a base-class for all branches in a generate statements.
8079 @item 
8080 @ref{256,,ElsifGenerateBranch}:
8081 A @code{GenerateBranch} is a base-class for all branches in a generate statements.
8083 @item 
8084 @ref{257,,ElseGenerateBranch}:
8085 A @code{GenerateBranch} is a base-class for all branches in a generate statements.
8087 @item 
8088 @ref{258,,IfGenerateStatement}:
8089 A @code{GenerateStatement} is a base-class for all generate statements.
8091 @item 
8092 @ref{259,,IndexedGenerateChoice}:
8093 A @code{ConcurrentChoice} is a base-class for all concurrent choices
8095 @item 
8096 @ref{25a,,RangedGenerateChoice}:
8097 A @code{ConcurrentChoice} is a base-class for all concurrent choices
8099 @item 
8100 @ref{25b,,GenerateCase}:
8101 A @code{Case} is a base-class for all cases.
8103 @item 
8104 @ref{25c,,OthersGenerateCase}:
8105 A @code{Case} is a base-class for all cases.
8107 @item 
8108 @ref{25d,,CaseGenerateStatement}:
8109 A @code{GenerateStatement} is a base-class for all generate statements.
8111 @item 
8112 @ref{25e,,ForGenerateStatement}:
8113 A @code{GenerateStatement} is a base-class for all generate statements.
8115 @item 
8116 @ref{25f,,WaveformElement}:
8117 @code{ModelEntity} is the base class for all classes in the VHDL language model,
8119 @item 
8120 @ref{260,,ConcurrentSimpleSignalAssignment}:
8121 A @code{ConcurrentStatement} is a base-class for all concurrent statements.
8123 @item 
8124 @ref{261,,ConcurrentProcedureCall}:
8125 A @code{ConcurrentStatement} is a base-class for all concurrent statements.
8127 @item 
8128 @ref{262,,ConcurrentAssertStatement}:
8129 A @code{ConcurrentStatement} is a base-class for all concurrent statements.
8130 @end itemize
8132 @c #-----------------------------------
8134 @geindex GenericAssociationItem (class in pyGHDL.dom.Concurrent)
8135 @anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent GenericAssociationItem}@anchor{24d}
8136 @deffn {Class} pyGHDL.dom.Concurrent.GenericAssociationItem (associationNode, actual, formal=None)
8138 @subsubheading Inheritance
8140 @image{inheritance-36b8be10ac616305455d8d6cfc107f390a8808d0,,,[graphviz],png}
8142 @subsubheading Members
8145 @geindex Actual (pyGHDL.dom.Concurrent.GenericAssociationItem property)
8146 @anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent GenericAssociationItem Actual}@anchor{263}
8147 @deffn {Property} Actual:  Union[BaseExpression,  @ref{203,,QualifiedExpression},  @ref{204,,FunctionCall},  @ref{205,,TypeConversion},  @ref{206,,Constant},  ConstantSymbol,  @ref{207,,Variable},  VariableSymbol,  @ref{208,,Signal},  SignalSymbol,  Literal]
8149 @*Return type: 
8150 Union@footnote{https://docs.python.org/3.6/library/typing.html#typing.Union}[BaseExpression@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.BaseExpression}, QualifiedExpression@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.QualifiedExpression}, FunctionCall@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.FunctionCall}, TypeConversion@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.TypeConversion}, Constant@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Constant}, ConstantSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.ConstantSymbol}, Variable@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Variable}, VariableSymbol, Signal@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Signal}, SignalSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.SignalSymbol}, @code{Literal}]
8152 @end deffn
8154 @geindex Formal (pyGHDL.dom.Concurrent.GenericAssociationItem property)
8155 @anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent GenericAssociationItem Formal}@anchor{264}
8156 @deffn {Property} Formal:  pyVHDLModel.SyntaxModel.Name@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Name}
8158 @*Return type: 
8159 Name@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Name}
8161 @end deffn
8163 @geindex Parent (pyGHDL.dom.Concurrent.GenericAssociationItem property)
8164 @anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent GenericAssociationItem Parent}@anchor{265}
8165 @deffn {Property} Parent:  pyVHDLModel.ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
8167 Returns a reference to the parent entity.
8169 @*Return type: 
8170 ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
8172 @end deffn
8174 @geindex Position (pyGHDL.dom.Concurrent.GenericAssociationItem property)
8175 @anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent GenericAssociationItem Position}@anchor{266}
8176 @deffn {Property} Position:  @ref{200,,pyGHDL.dom.Position}
8178 @*Return type: 
8179 @ref{200,,Position}
8181 @end deffn
8183 @geindex _position (pyGHDL.dom.Concurrent.GenericAssociationItem attribute)
8184 @anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent GenericAssociationItem _position}@anchor{267}
8185 @deffn {Attribute} _position:  @ref{200,,Position}  =  None
8186 @end deffn
8188 @geindex _formal (pyGHDL.dom.Concurrent.GenericAssociationItem attribute)
8189 @anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent GenericAssociationItem _formal}@anchor{268}
8190 @deffn {Attribute} _formal:  pyVHDLModel.SyntaxModel.Name@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Name}
8191 @end deffn
8193 @geindex _actual (pyGHDL.dom.Concurrent.GenericAssociationItem attribute)
8194 @anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent GenericAssociationItem _actual}@anchor{269}
8195 @deffn {Attribute} _actual:  Union[BaseExpression,  @ref{203,,QualifiedExpression},  @ref{204,,FunctionCall},  @ref{205,,TypeConversion},  @ref{206,,Constant},  ConstantSymbol,  @ref{207,,Variable},  VariableSymbol,  @ref{208,,Signal},  SignalSymbol,  Literal]
8196 @end deffn
8198 @geindex _parent (pyGHDL.dom.Concurrent.GenericAssociationItem attribute)
8199 @anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent GenericAssociationItem _parent}@anchor{26a}
8200 @deffn {Attribute} _parent:  ModelEntity
8202 Reference to a parent entity in the model.
8203 @end deffn
8205 @geindex _iirNode (pyGHDL.dom.Concurrent.GenericAssociationItem attribute)
8206 @anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent GenericAssociationItem _iirNode}@anchor{26b}
8207 @deffn {Attribute} _iirNode:  Iir
8208 @end deffn
8209 @end deffn
8211 @geindex PortAssociationItem (class in pyGHDL.dom.Concurrent)
8212 @anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent PortAssociationItem}@anchor{24e}
8213 @deffn {Class} pyGHDL.dom.Concurrent.PortAssociationItem (associationNode, actual, formal=None)
8215 @subsubheading Inheritance
8217 @image{inheritance-e0664eab34db930f4ca178d660c309c4c688ff45,,,[graphviz],png}
8219 @subsubheading Members
8222 @geindex Actual (pyGHDL.dom.Concurrent.PortAssociationItem property)
8223 @anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent PortAssociationItem Actual}@anchor{26c}
8224 @deffn {Property} Actual:  Union[BaseExpression,  @ref{203,,QualifiedExpression},  @ref{204,,FunctionCall},  @ref{205,,TypeConversion},  @ref{206,,Constant},  ConstantSymbol,  @ref{207,,Variable},  VariableSymbol,  @ref{208,,Signal},  SignalSymbol,  Literal]
8226 @*Return type: 
8227 Union@footnote{https://docs.python.org/3.6/library/typing.html#typing.Union}[BaseExpression@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.BaseExpression}, QualifiedExpression@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.QualifiedExpression}, FunctionCall@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.FunctionCall}, TypeConversion@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.TypeConversion}, Constant@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Constant}, ConstantSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.ConstantSymbol}, Variable@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Variable}, VariableSymbol, Signal@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Signal}, SignalSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.SignalSymbol}, @code{Literal}]
8229 @end deffn
8231 @geindex Formal (pyGHDL.dom.Concurrent.PortAssociationItem property)
8232 @anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent PortAssociationItem Formal}@anchor{26d}
8233 @deffn {Property} Formal:  pyVHDLModel.SyntaxModel.Name@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Name}
8235 @*Return type: 
8236 Name@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Name}
8238 @end deffn
8240 @geindex Parent (pyGHDL.dom.Concurrent.PortAssociationItem property)
8241 @anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent PortAssociationItem Parent}@anchor{26e}
8242 @deffn {Property} Parent:  pyVHDLModel.ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
8244 Returns a reference to the parent entity.
8246 @*Return type: 
8247 ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
8249 @end deffn
8251 @geindex Position (pyGHDL.dom.Concurrent.PortAssociationItem property)
8252 @anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent PortAssociationItem Position}@anchor{26f}
8253 @deffn {Property} Position:  @ref{200,,pyGHDL.dom.Position}
8255 @*Return type: 
8256 @ref{200,,Position}
8258 @end deffn
8260 @geindex _position (pyGHDL.dom.Concurrent.PortAssociationItem attribute)
8261 @anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent PortAssociationItem _position}@anchor{270}
8262 @deffn {Attribute} _position:  @ref{200,,Position}  =  None
8263 @end deffn
8265 @geindex _formal (pyGHDL.dom.Concurrent.PortAssociationItem attribute)
8266 @anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent PortAssociationItem _formal}@anchor{271}
8267 @deffn {Attribute} _formal:  pyVHDLModel.SyntaxModel.Name@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Name}
8268 @end deffn
8270 @geindex _actual (pyGHDL.dom.Concurrent.PortAssociationItem attribute)
8271 @anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent PortAssociationItem _actual}@anchor{272}
8272 @deffn {Attribute} _actual:  Union[BaseExpression,  @ref{203,,QualifiedExpression},  @ref{204,,FunctionCall},  @ref{205,,TypeConversion},  @ref{206,,Constant},  ConstantSymbol,  @ref{207,,Variable},  VariableSymbol,  @ref{208,,Signal},  SignalSymbol,  Literal]
8273 @end deffn
8275 @geindex _parent (pyGHDL.dom.Concurrent.PortAssociationItem attribute)
8276 @anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent PortAssociationItem _parent}@anchor{273}
8277 @deffn {Attribute} _parent:  ModelEntity
8279 Reference to a parent entity in the model.
8280 @end deffn
8282 @geindex _iirNode (pyGHDL.dom.Concurrent.PortAssociationItem attribute)
8283 @anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent PortAssociationItem _iirNode}@anchor{274}
8284 @deffn {Attribute} _iirNode:  Iir
8285 @end deffn
8286 @end deffn
8288 @geindex ParameterAssociationItem (class in pyGHDL.dom.Concurrent)
8289 @anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent ParameterAssociationItem}@anchor{24f}
8290 @deffn {Class} pyGHDL.dom.Concurrent.ParameterAssociationItem (associationNode, actual, formal=None)
8292 @subsubheading Inheritance
8294 @image{inheritance-586d854f8b8f3c872f988b92ee947faedbc695ff,,,[graphviz],png}
8296 @subsubheading Members
8299 @geindex Actual (pyGHDL.dom.Concurrent.ParameterAssociationItem property)
8300 @anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent ParameterAssociationItem Actual}@anchor{275}
8301 @deffn {Property} Actual:  Union[BaseExpression,  @ref{203,,QualifiedExpression},  @ref{204,,FunctionCall},  @ref{205,,TypeConversion},  @ref{206,,Constant},  ConstantSymbol,  @ref{207,,Variable},  VariableSymbol,  @ref{208,,Signal},  SignalSymbol,  Literal]
8303 @*Return type: 
8304 Union@footnote{https://docs.python.org/3.6/library/typing.html#typing.Union}[BaseExpression@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.BaseExpression}, QualifiedExpression@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.QualifiedExpression}, FunctionCall@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.FunctionCall}, TypeConversion@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.TypeConversion}, Constant@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Constant}, ConstantSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.ConstantSymbol}, Variable@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Variable}, VariableSymbol, Signal@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Signal}, SignalSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.SignalSymbol}, @code{Literal}]
8306 @end deffn
8308 @geindex Formal (pyGHDL.dom.Concurrent.ParameterAssociationItem property)
8309 @anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent ParameterAssociationItem Formal}@anchor{276}
8310 @deffn {Property} Formal:  pyVHDLModel.SyntaxModel.Name@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Name}
8312 @*Return type: 
8313 Name@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Name}
8315 @end deffn
8317 @geindex Parent (pyGHDL.dom.Concurrent.ParameterAssociationItem property)
8318 @anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent ParameterAssociationItem Parent}@anchor{277}
8319 @deffn {Property} Parent:  pyVHDLModel.ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
8321 Returns a reference to the parent entity.
8323 @*Return type: 
8324 ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
8326 @end deffn
8328 @geindex Position (pyGHDL.dom.Concurrent.ParameterAssociationItem property)
8329 @anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent ParameterAssociationItem Position}@anchor{278}
8330 @deffn {Property} Position:  @ref{200,,pyGHDL.dom.Position}
8332 @*Return type: 
8333 @ref{200,,Position}
8335 @end deffn
8337 @geindex _position (pyGHDL.dom.Concurrent.ParameterAssociationItem attribute)
8338 @anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent ParameterAssociationItem _position}@anchor{279}
8339 @deffn {Attribute} _position:  @ref{200,,Position}  =  None
8340 @end deffn
8342 @geindex _formal (pyGHDL.dom.Concurrent.ParameterAssociationItem attribute)
8343 @anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent ParameterAssociationItem _formal}@anchor{27a}
8344 @deffn {Attribute} _formal:  pyVHDLModel.SyntaxModel.Name@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Name}
8345 @end deffn
8347 @geindex _actual (pyGHDL.dom.Concurrent.ParameterAssociationItem attribute)
8348 @anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent ParameterAssociationItem _actual}@anchor{27b}
8349 @deffn {Attribute} _actual:  Union[BaseExpression,  @ref{203,,QualifiedExpression},  @ref{204,,FunctionCall},  @ref{205,,TypeConversion},  @ref{206,,Constant},  ConstantSymbol,  @ref{207,,Variable},  VariableSymbol,  @ref{208,,Signal},  SignalSymbol,  Literal]
8350 @end deffn
8352 @geindex _parent (pyGHDL.dom.Concurrent.ParameterAssociationItem attribute)
8353 @anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent ParameterAssociationItem _parent}@anchor{27c}
8354 @deffn {Attribute} _parent:  ModelEntity
8356 Reference to a parent entity in the model.
8357 @end deffn
8359 @geindex _iirNode (pyGHDL.dom.Concurrent.ParameterAssociationItem attribute)
8360 @anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent ParameterAssociationItem _iirNode}@anchor{27d}
8361 @deffn {Attribute} _iirNode:  Iir
8362 @end deffn
8363 @end deffn
8365 @geindex ComponentInstantiation (class in pyGHDL.dom.Concurrent)
8366 @anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent ComponentInstantiation}@anchor{250}
8367 @deffn {Class} pyGHDL.dom.Concurrent.ComponentInstantiation (instantiationNode, label, componentName, genericAssociations=None, portAssociations=None)
8369 @subsubheading Inheritance
8371 @image{inheritance-5003c66a9271cbdb4ae9e751a83b119a3ccf23db,,,[graphviz],png}
8373 @subsubheading Members
8376 @geindex parse() (pyGHDL.dom.Concurrent.ComponentInstantiation class method)
8377 @anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent ComponentInstantiation parse}@anchor{27e}
8378 @deffn {Method} classmethod  parse (instantiationNode, instantiatedUnit, label)
8380 @*Return type: 
8381 @ref{250,,ComponentInstantiation}
8383 @end deffn
8385 @geindex Component (pyGHDL.dom.Concurrent.ComponentInstantiation property)
8386 @anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent ComponentInstantiation Component}@anchor{27f}
8387 @deffn {Property} Component:  pyVHDLModel.SyntaxModel.Name@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Name}
8389 @*Return type: 
8390 Name@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Name}
8392 @end deffn
8394 @geindex GenericAssociations (pyGHDL.dom.Concurrent.ComponentInstantiation property)
8395 @anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent ComponentInstantiation GenericAssociations}@anchor{280}
8396 @deffn {Property} GenericAssociations:  List[pyVHDLModel.SyntaxModel.AssociationItem@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.AssociationItem}]
8398 @*Return type: 
8399 List@footnote{https://docs.python.org/3.6/library/typing.html#typing.List}[AssociationItem@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.AssociationItem}]
8401 @end deffn
8403 @geindex Label (pyGHDL.dom.Concurrent.ComponentInstantiation property)
8404 @anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent ComponentInstantiation Label}@anchor{281}
8405 @deffn {Property} Label:  str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
8407 Returns a model entity’s label.
8409 @*Return type: 
8410 str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
8412 @end deffn
8414 @geindex Parent (pyGHDL.dom.Concurrent.ComponentInstantiation property)
8415 @anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent ComponentInstantiation Parent}@anchor{282}
8416 @deffn {Property} Parent:  pyVHDLModel.ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
8418 Returns a reference to the parent entity.
8420 @*Return type: 
8421 ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
8423 @end deffn
8425 @geindex PortAssociations (pyGHDL.dom.Concurrent.ComponentInstantiation property)
8426 @anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent ComponentInstantiation PortAssociations}@anchor{283}
8427 @deffn {Property} PortAssociations:  List[pyVHDLModel.SyntaxModel.AssociationItem@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.AssociationItem}]
8429 @*Return type: 
8430 List@footnote{https://docs.python.org/3.6/library/typing.html#typing.List}[AssociationItem@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.AssociationItem}]
8432 @end deffn
8434 @geindex Position (pyGHDL.dom.Concurrent.ComponentInstantiation property)
8435 @anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent ComponentInstantiation Position}@anchor{284}
8436 @deffn {Property} Position:  @ref{200,,pyGHDL.dom.Position}
8438 @*Return type: 
8439 @ref{200,,Position}
8441 @end deffn
8443 @geindex _position (pyGHDL.dom.Concurrent.ComponentInstantiation attribute)
8444 @anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent ComponentInstantiation _position}@anchor{285}
8445 @deffn {Attribute} _position:  @ref{200,,Position}  =  None
8446 @end deffn
8448 @geindex _component (pyGHDL.dom.Concurrent.ComponentInstantiation attribute)
8449 @anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent ComponentInstantiation _component}@anchor{286}
8450 @deffn {Attribute} _component:  pyVHDLModel.SyntaxModel.Name@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Name}
8451 @end deffn
8453 @geindex _genericAssociations (pyGHDL.dom.Concurrent.ComponentInstantiation attribute)
8454 @anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent ComponentInstantiation _genericAssociations}@anchor{287}
8455 @deffn {Attribute} _genericAssociations:  List[AssociationItem]
8456 @end deffn
8458 @geindex _portAssociations (pyGHDL.dom.Concurrent.ComponentInstantiation attribute)
8459 @anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent ComponentInstantiation _portAssociations}@anchor{288}
8460 @deffn {Attribute} _portAssociations:  List[AssociationItem]
8461 @end deffn
8463 @geindex _parent (pyGHDL.dom.Concurrent.ComponentInstantiation attribute)
8464 @anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent ComponentInstantiation _parent}@anchor{289}
8465 @deffn {Attribute} _parent:  ModelEntity
8467 Reference to a parent entity in the model.
8468 @end deffn
8470 @geindex _label (pyGHDL.dom.Concurrent.ComponentInstantiation attribute)
8471 @anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent ComponentInstantiation _label}@anchor{28a}
8472 @deffn {Attribute} _label:  str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
8474 The label of a model entity.
8475 @end deffn
8477 @geindex _iirNode (pyGHDL.dom.Concurrent.ComponentInstantiation attribute)
8478 @anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent ComponentInstantiation _iirNode}@anchor{28b}
8479 @deffn {Attribute} _iirNode:  Iir
8480 @end deffn
8481 @end deffn
8483 @geindex EntityInstantiation (class in pyGHDL.dom.Concurrent)
8484 @anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent EntityInstantiation}@anchor{251}
8485 @deffn {Class} pyGHDL.dom.Concurrent.EntityInstantiation (instantiationNode, label, entityName, architectureName=None, genericAssociations=None, portAssociations=None)
8487 @subsubheading Inheritance
8489 @image{inheritance-f3f099a8d81052a1754bad0f04b7903e962a84ef,,,[graphviz],png}
8491 @subsubheading Members
8494 @geindex parse() (pyGHDL.dom.Concurrent.EntityInstantiation class method)
8495 @anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent EntityInstantiation parse}@anchor{28c}
8496 @deffn {Method} classmethod  parse (instantiationNode, instantiatedUnit, label)
8498 @*Return type: 
8499 @ref{251,,EntityInstantiation}
8501 @end deffn
8503 @geindex Architecture (pyGHDL.dom.Concurrent.EntityInstantiation property)
8504 @anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent EntityInstantiation Architecture}@anchor{28d}
8505 @deffn {Property} Architecture:  pyVHDLModel.SyntaxModel.Name@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Name}
8507 @*Return type: 
8508 Name@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Name}
8510 @end deffn
8512 @geindex Entity (pyGHDL.dom.Concurrent.EntityInstantiation property)
8513 @anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent EntityInstantiation Entity}@anchor{28e}
8514 @deffn {Property} Entity:  pyVHDLModel.SyntaxModel.Name@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Name}
8516 @*Return type: 
8517 Name@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Name}
8519 @end deffn
8521 @geindex GenericAssociations (pyGHDL.dom.Concurrent.EntityInstantiation property)
8522 @anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent EntityInstantiation GenericAssociations}@anchor{28f}
8523 @deffn {Property} GenericAssociations:  List[pyVHDLModel.SyntaxModel.AssociationItem@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.AssociationItem}]
8525 @*Return type: 
8526 List@footnote{https://docs.python.org/3.6/library/typing.html#typing.List}[AssociationItem@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.AssociationItem}]
8528 @end deffn
8530 @geindex Label (pyGHDL.dom.Concurrent.EntityInstantiation property)
8531 @anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent EntityInstantiation Label}@anchor{290}
8532 @deffn {Property} Label:  str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
8534 Returns a model entity’s label.
8536 @*Return type: 
8537 str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
8539 @end deffn
8541 @geindex Parent (pyGHDL.dom.Concurrent.EntityInstantiation property)
8542 @anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent EntityInstantiation Parent}@anchor{291}
8543 @deffn {Property} Parent:  pyVHDLModel.ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
8545 Returns a reference to the parent entity.
8547 @*Return type: 
8548 ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
8550 @end deffn
8552 @geindex PortAssociations (pyGHDL.dom.Concurrent.EntityInstantiation property)
8553 @anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent EntityInstantiation PortAssociations}@anchor{292}
8554 @deffn {Property} PortAssociations:  List[pyVHDLModel.SyntaxModel.AssociationItem@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.AssociationItem}]
8556 @*Return type: 
8557 List@footnote{https://docs.python.org/3.6/library/typing.html#typing.List}[AssociationItem@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.AssociationItem}]
8559 @end deffn
8561 @geindex Position (pyGHDL.dom.Concurrent.EntityInstantiation property)
8562 @anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent EntityInstantiation Position}@anchor{293}
8563 @deffn {Property} Position:  @ref{200,,pyGHDL.dom.Position}
8565 @*Return type: 
8566 @ref{200,,Position}
8568 @end deffn
8570 @geindex _position (pyGHDL.dom.Concurrent.EntityInstantiation attribute)
8571 @anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent EntityInstantiation _position}@anchor{294}
8572 @deffn {Attribute} _position:  @ref{200,,Position}  =  None
8573 @end deffn
8575 @geindex _entity (pyGHDL.dom.Concurrent.EntityInstantiation attribute)
8576 @anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent EntityInstantiation _entity}@anchor{295}
8577 @deffn {Attribute} _entity:  pyVHDLModel.SyntaxModel.Name@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Name}
8578 @end deffn
8580 @geindex _architecture (pyGHDL.dom.Concurrent.EntityInstantiation attribute)
8581 @anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent EntityInstantiation _architecture}@anchor{296}
8582 @deffn {Attribute} _architecture:  pyVHDLModel.SyntaxModel.Name@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Name}
8583 @end deffn
8585 @geindex _genericAssociations (pyGHDL.dom.Concurrent.EntityInstantiation attribute)
8586 @anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent EntityInstantiation _genericAssociations}@anchor{297}
8587 @deffn {Attribute} _genericAssociations:  List[AssociationItem]
8588 @end deffn
8590 @geindex _portAssociations (pyGHDL.dom.Concurrent.EntityInstantiation attribute)
8591 @anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent EntityInstantiation _portAssociations}@anchor{298}
8592 @deffn {Attribute} _portAssociations:  List[AssociationItem]
8593 @end deffn
8595 @geindex _parent (pyGHDL.dom.Concurrent.EntityInstantiation attribute)
8596 @anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent EntityInstantiation _parent}@anchor{299}
8597 @deffn {Attribute} _parent:  ModelEntity
8599 Reference to a parent entity in the model.
8600 @end deffn
8602 @geindex _label (pyGHDL.dom.Concurrent.EntityInstantiation attribute)
8603 @anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent EntityInstantiation _label}@anchor{29a}
8604 @deffn {Attribute} _label:  str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
8606 The label of a model entity.
8607 @end deffn
8609 @geindex _iirNode (pyGHDL.dom.Concurrent.EntityInstantiation attribute)
8610 @anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent EntityInstantiation _iirNode}@anchor{29b}
8611 @deffn {Attribute} _iirNode:  Iir
8612 @end deffn
8613 @end deffn
8615 @geindex ConfigurationInstantiation (class in pyGHDL.dom.Concurrent)
8616 @anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent ConfigurationInstantiation}@anchor{252}
8617 @deffn {Class} pyGHDL.dom.Concurrent.ConfigurationInstantiation (instantiationNode, label, configurationName, genericAssociations=None, portAssociations=None)
8619 @subsubheading Inheritance
8621 @image{inheritance-c6ade273f2ac735f98b9c5aba822f49d8b229a27,,,[graphviz],png}
8623 @subsubheading Members
8626 @geindex parse() (pyGHDL.dom.Concurrent.ConfigurationInstantiation class method)
8627 @anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent ConfigurationInstantiation parse}@anchor{29c}
8628 @deffn {Method} classmethod  parse (instantiationNode, instantiatedUnit, label)
8630 @*Return type: 
8631 @ref{252,,ConfigurationInstantiation}
8633 @end deffn
8635 @geindex Configuration (pyGHDL.dom.Concurrent.ConfigurationInstantiation property)
8636 @anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent ConfigurationInstantiation Configuration}@anchor{29d}
8637 @deffn {Property} Configuration:  pyVHDLModel.SyntaxModel.Name@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Name}
8639 @*Return type: 
8640 Name@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Name}
8642 @end deffn
8644 @geindex GenericAssociations (pyGHDL.dom.Concurrent.ConfigurationInstantiation property)
8645 @anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent ConfigurationInstantiation GenericAssociations}@anchor{29e}
8646 @deffn {Property} GenericAssociations:  List[pyVHDLModel.SyntaxModel.AssociationItem@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.AssociationItem}]
8648 @*Return type: 
8649 List@footnote{https://docs.python.org/3.6/library/typing.html#typing.List}[AssociationItem@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.AssociationItem}]
8651 @end deffn
8653 @geindex Label (pyGHDL.dom.Concurrent.ConfigurationInstantiation property)
8654 @anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent ConfigurationInstantiation Label}@anchor{29f}
8655 @deffn {Property} Label:  str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
8657 Returns a model entity’s label.
8659 @*Return type: 
8660 str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
8662 @end deffn
8664 @geindex Parent (pyGHDL.dom.Concurrent.ConfigurationInstantiation property)
8665 @anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent ConfigurationInstantiation Parent}@anchor{2a0}
8666 @deffn {Property} Parent:  pyVHDLModel.ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
8668 Returns a reference to the parent entity.
8670 @*Return type: 
8671 ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
8673 @end deffn
8675 @geindex PortAssociations (pyGHDL.dom.Concurrent.ConfigurationInstantiation property)
8676 @anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent ConfigurationInstantiation PortAssociations}@anchor{2a1}
8677 @deffn {Property} PortAssociations:  List[pyVHDLModel.SyntaxModel.AssociationItem@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.AssociationItem}]
8679 @*Return type: 
8680 List@footnote{https://docs.python.org/3.6/library/typing.html#typing.List}[AssociationItem@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.AssociationItem}]
8682 @end deffn
8684 @geindex Position (pyGHDL.dom.Concurrent.ConfigurationInstantiation property)
8685 @anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent ConfigurationInstantiation Position}@anchor{2a2}
8686 @deffn {Property} Position:  @ref{200,,pyGHDL.dom.Position}
8688 @*Return type: 
8689 @ref{200,,Position}
8691 @end deffn
8693 @geindex _position (pyGHDL.dom.Concurrent.ConfigurationInstantiation attribute)
8694 @anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent ConfigurationInstantiation _position}@anchor{2a3}
8695 @deffn {Attribute} _position:  @ref{200,,Position}  =  None
8696 @end deffn
8698 @geindex _configuration (pyGHDL.dom.Concurrent.ConfigurationInstantiation attribute)
8699 @anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent ConfigurationInstantiation _configuration}@anchor{2a4}
8700 @deffn {Attribute} _configuration:  pyVHDLModel.SyntaxModel.Name@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Name}
8701 @end deffn
8703 @geindex _genericAssociations (pyGHDL.dom.Concurrent.ConfigurationInstantiation attribute)
8704 @anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent ConfigurationInstantiation _genericAssociations}@anchor{2a5}
8705 @deffn {Attribute} _genericAssociations:  List[AssociationItem]
8706 @end deffn
8708 @geindex _portAssociations (pyGHDL.dom.Concurrent.ConfigurationInstantiation attribute)
8709 @anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent ConfigurationInstantiation _portAssociations}@anchor{2a6}
8710 @deffn {Attribute} _portAssociations:  List[AssociationItem]
8711 @end deffn
8713 @geindex _parent (pyGHDL.dom.Concurrent.ConfigurationInstantiation attribute)
8714 @anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent ConfigurationInstantiation _parent}@anchor{2a7}
8715 @deffn {Attribute} _parent:  ModelEntity
8717 Reference to a parent entity in the model.
8718 @end deffn
8720 @geindex _label (pyGHDL.dom.Concurrent.ConfigurationInstantiation attribute)
8721 @anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent ConfigurationInstantiation _label}@anchor{2a8}
8722 @deffn {Attribute} _label:  str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
8724 The label of a model entity.
8725 @end deffn
8727 @geindex _iirNode (pyGHDL.dom.Concurrent.ConfigurationInstantiation attribute)
8728 @anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent ConfigurationInstantiation _iirNode}@anchor{2a9}
8729 @deffn {Attribute} _iirNode:  Iir
8730 @end deffn
8731 @end deffn
8733 @geindex ConcurrentBlockStatement (class in pyGHDL.dom.Concurrent)
8734 @anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent ConcurrentBlockStatement}@anchor{253}
8735 @deffn {Class} pyGHDL.dom.Concurrent.ConcurrentBlockStatement (blockNode, label, declaredItems=None, statements=None)
8737 @subsubheading Inheritance
8739 @image{inheritance-d60a80b985c9ce43c0b732d8ef4ad2e9cb2a67d6,,,[graphviz],png}
8741 @subsubheading Members
8744 @geindex parse() (pyGHDL.dom.Concurrent.ConcurrentBlockStatement class method)
8745 @anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent ConcurrentBlockStatement parse}@anchor{2aa}
8746 @deffn {Method} classmethod  parse (blockNode, label)
8748 @*Return type: 
8749 @ref{253,,ConcurrentBlockStatement}
8751 @end deffn
8753 @geindex DeclaredItems (pyGHDL.dom.Concurrent.ConcurrentBlockStatement property)
8754 @anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent ConcurrentBlockStatement DeclaredItems}@anchor{2ab}
8755 @deffn {Property} DeclaredItems:  List
8757 @*Return type: 
8758 List@footnote{https://docs.python.org/3.6/library/typing.html#typing.List}
8760 @end deffn
8762 @geindex Label (pyGHDL.dom.Concurrent.ConcurrentBlockStatement property)
8763 @anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent ConcurrentBlockStatement Label}@anchor{2ac}
8764 @deffn {Property} Label:  str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
8766 Returns a model entity’s label.
8768 @*Return type: 
8769 str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
8771 @end deffn
8773 @geindex Parent (pyGHDL.dom.Concurrent.ConcurrentBlockStatement property)
8774 @anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent ConcurrentBlockStatement Parent}@anchor{2ad}
8775 @deffn {Property} Parent:  pyVHDLModel.ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
8777 Returns a reference to the parent entity.
8779 @*Return type: 
8780 ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
8782 @end deffn
8784 @geindex PortItems (pyGHDL.dom.Concurrent.ConcurrentBlockStatement property)
8785 @anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent ConcurrentBlockStatement PortItems}@anchor{2ae}
8786 @deffn {Property} PortItems:  List[pyVHDLModel.SyntaxModel.PortInterfaceItem@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.PortInterfaceItem}]
8788 @*Return type: 
8789 List@footnote{https://docs.python.org/3.6/library/typing.html#typing.List}[PortInterfaceItem@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.PortInterfaceItem}]
8791 @end deffn
8793 @geindex Position (pyGHDL.dom.Concurrent.ConcurrentBlockStatement property)
8794 @anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent ConcurrentBlockStatement Position}@anchor{2af}
8795 @deffn {Property} Position:  @ref{200,,pyGHDL.dom.Position}
8797 @*Return type: 
8798 @ref{200,,Position}
8800 @end deffn
8802 @geindex Statements (pyGHDL.dom.Concurrent.ConcurrentBlockStatement property)
8803 @anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent ConcurrentBlockStatement Statements}@anchor{2b0}
8804 @deffn {Property} Statements:  List[pyVHDLModel.SyntaxModel.ConcurrentStatement@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.ConcurrentStatement}]
8806 @*Return type: 
8807 List@footnote{https://docs.python.org/3.6/library/typing.html#typing.List}[ConcurrentStatement@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.ConcurrentStatement}]
8809 @end deffn
8811 @geindex _position (pyGHDL.dom.Concurrent.ConcurrentBlockStatement attribute)
8812 @anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent ConcurrentBlockStatement _position}@anchor{2b1}
8813 @deffn {Attribute} _position:  @ref{200,,Position}  =  None
8814 @end deffn
8816 @geindex _portItems (pyGHDL.dom.Concurrent.ConcurrentBlockStatement attribute)
8817 @anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent ConcurrentBlockStatement _portItems}@anchor{2b2}
8818 @deffn {Attribute} _portItems:  List[pyVHDLModel.SyntaxModel.PortInterfaceItem@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.PortInterfaceItem}]
8819 @end deffn
8821 @geindex _parent (pyGHDL.dom.Concurrent.ConcurrentBlockStatement attribute)
8822 @anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent ConcurrentBlockStatement _parent}@anchor{2b3}
8823 @deffn {Attribute} _parent:  ModelEntity
8825 Reference to a parent entity in the model.
8826 @end deffn
8828 @geindex _label (pyGHDL.dom.Concurrent.ConcurrentBlockStatement attribute)
8829 @anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent ConcurrentBlockStatement _label}@anchor{2b4}
8830 @deffn {Attribute} _label:  str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
8832 The label of a model entity.
8833 @end deffn
8835 @geindex _declaredItems (pyGHDL.dom.Concurrent.ConcurrentBlockStatement attribute)
8836 @anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent ConcurrentBlockStatement _declaredItems}@anchor{2b5}
8837 @deffn {Attribute} _declaredItems:  List
8838 @end deffn
8840 @geindex _statements (pyGHDL.dom.Concurrent.ConcurrentBlockStatement attribute)
8841 @anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent ConcurrentBlockStatement _statements}@anchor{2b6}
8842 @deffn {Attribute} _statements:  List[ConcurrentStatement]
8843 @end deffn
8845 @geindex _iirNode (pyGHDL.dom.Concurrent.ConcurrentBlockStatement attribute)
8846 @anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent ConcurrentBlockStatement _iirNode}@anchor{2b7}
8847 @deffn {Attribute} _iirNode:  Iir
8848 @end deffn
8849 @end deffn
8851 @geindex ProcessStatement (class in pyGHDL.dom.Concurrent)
8852 @anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent ProcessStatement}@anchor{254}
8853 @deffn {Class} pyGHDL.dom.Concurrent.ProcessStatement (processNode, label=None, declaredItems=None, statements=None, sensitivityList=None)
8855 @subsubheading Inheritance
8857 @image{inheritance-d32e919a67a15de8b73cfb0570045ccc60382421,,,[graphviz],png}
8859 @subsubheading Members
8862 @geindex parse() (pyGHDL.dom.Concurrent.ProcessStatement class method)
8863 @anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent ProcessStatement parse}@anchor{2b8}
8864 @deffn {Method} classmethod  parse (processNode, label, hasSensitivityList)
8866 @*Return type: 
8867 @ref{254,,ProcessStatement}
8869 @end deffn
8871 @geindex DeclaredItems (pyGHDL.dom.Concurrent.ProcessStatement property)
8872 @anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent ProcessStatement DeclaredItems}@anchor{2b9}
8873 @deffn {Property} DeclaredItems:  List
8875 @*Return type: 
8876 List@footnote{https://docs.python.org/3.6/library/typing.html#typing.List}
8878 @end deffn
8880 @geindex Label (pyGHDL.dom.Concurrent.ProcessStatement property)
8881 @anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent ProcessStatement Label}@anchor{2ba}
8882 @deffn {Property} Label:  str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
8884 Returns a model entity’s label.
8886 @*Return type: 
8887 str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
8889 @end deffn
8891 @geindex Parent (pyGHDL.dom.Concurrent.ProcessStatement property)
8892 @anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent ProcessStatement Parent}@anchor{2bb}
8893 @deffn {Property} Parent:  pyVHDLModel.ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
8895 Returns a reference to the parent entity.
8897 @*Return type: 
8898 ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
8900 @end deffn
8902 @geindex Position (pyGHDL.dom.Concurrent.ProcessStatement property)
8903 @anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent ProcessStatement Position}@anchor{2bc}
8904 @deffn {Property} Position:  @ref{200,,pyGHDL.dom.Position}
8906 @*Return type: 
8907 @ref{200,,Position}
8909 @end deffn
8911 @geindex SensitivityList (pyGHDL.dom.Concurrent.ProcessStatement property)
8912 @anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent ProcessStatement SensitivityList}@anchor{2bd}
8913 @deffn {Property} SensitivityList:  List[pyVHDLModel.SyntaxModel.Name@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Name}]
8915 @*Return type: 
8916 List@footnote{https://docs.python.org/3.6/library/typing.html#typing.List}[Name@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Name}]
8918 @end deffn
8920 @geindex Statements (pyGHDL.dom.Concurrent.ProcessStatement property)
8921 @anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent ProcessStatement Statements}@anchor{2be}
8922 @deffn {Property} Statements:  List[pyVHDLModel.SyntaxModel.SequentialStatement@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.SequentialStatement}]
8924 @*Return type: 
8925 List@footnote{https://docs.python.org/3.6/library/typing.html#typing.List}[SequentialStatement@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.SequentialStatement}]
8927 @end deffn
8929 @geindex _position (pyGHDL.dom.Concurrent.ProcessStatement attribute)
8930 @anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent ProcessStatement _position}@anchor{2bf}
8931 @deffn {Attribute} _position:  @ref{200,,Position}  =  None
8932 @end deffn
8934 @geindex _sensitivityList (pyGHDL.dom.Concurrent.ProcessStatement attribute)
8935 @anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent ProcessStatement _sensitivityList}@anchor{2c0}
8936 @deffn {Attribute} _sensitivityList:  List[pyVHDLModel.SyntaxModel.Name@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Name}]  =  None
8937 @end deffn
8939 @geindex _parent (pyGHDL.dom.Concurrent.ProcessStatement attribute)
8940 @anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent ProcessStatement _parent}@anchor{2c1}
8941 @deffn {Attribute} _parent:  ModelEntity
8943 Reference to a parent entity in the model.
8944 @end deffn
8946 @geindex _label (pyGHDL.dom.Concurrent.ProcessStatement attribute)
8947 @anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent ProcessStatement _label}@anchor{2c2}
8948 @deffn {Attribute} _label:  str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
8950 The label of a model entity.
8951 @end deffn
8953 @geindex _declaredItems (pyGHDL.dom.Concurrent.ProcessStatement attribute)
8954 @anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent ProcessStatement _declaredItems}@anchor{2c3}
8955 @deffn {Attribute} _declaredItems:  List
8956 @end deffn
8958 @geindex _statements (pyGHDL.dom.Concurrent.ProcessStatement attribute)
8959 @anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent ProcessStatement _statements}@anchor{2c4}
8960 @deffn {Attribute} _statements:  List[SequentialStatement]
8961 @end deffn
8963 @geindex _iirNode (pyGHDL.dom.Concurrent.ProcessStatement attribute)
8964 @anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent ProcessStatement _iirNode}@anchor{2c5}
8965 @deffn {Attribute} _iirNode:  Iir
8966 @end deffn
8967 @end deffn
8969 @geindex IfGenerateBranch (class in pyGHDL.dom.Concurrent)
8970 @anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent IfGenerateBranch}@anchor{255}
8971 @deffn {Class} pyGHDL.dom.Concurrent.IfGenerateBranch (branchNode, condition, declaredItems=None, statements=None, alternativeLabel=None)
8973 @subsubheading Inheritance
8975 @image{inheritance-9fdc9d253083778482e4b45bb6e278aa1c1c025b,,,[graphviz],png}
8977 @subsubheading Members
8980 @geindex parse() (pyGHDL.dom.Concurrent.IfGenerateBranch class method)
8981 @anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent IfGenerateBranch parse}@anchor{2c6}
8982 @deffn {Method} classmethod  parse (generateNode)
8984 @*Return type: 
8985 @ref{255,,IfGenerateBranch}
8987 @end deffn
8989 @geindex Condition (pyGHDL.dom.Concurrent.IfGenerateBranch property)
8990 @anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent IfGenerateBranch Condition}@anchor{2c7}
8991 @deffn {Property} Condition:  Union[BaseExpression,  @ref{203,,QualifiedExpression},  @ref{204,,FunctionCall},  @ref{205,,TypeConversion},  @ref{206,,Constant},  ConstantSymbol,  @ref{207,,Variable},  VariableSymbol,  @ref{208,,Signal},  SignalSymbol,  Literal]
8993 @*Return type: 
8994 Union@footnote{https://docs.python.org/3.6/library/typing.html#typing.Union}[BaseExpression@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.BaseExpression}, QualifiedExpression@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.QualifiedExpression}, FunctionCall@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.FunctionCall}, TypeConversion@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.TypeConversion}, Constant@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Constant}, ConstantSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.ConstantSymbol}, Variable@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Variable}, VariableSymbol, Signal@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Signal}, SignalSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.SignalSymbol}, @code{Literal}]
8996 @end deffn
8998 @geindex DeclaredItems (pyGHDL.dom.Concurrent.IfGenerateBranch property)
8999 @anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent IfGenerateBranch DeclaredItems}@anchor{2c8}
9000 @deffn {Property} DeclaredItems:  List
9002 @*Return type: 
9003 List@footnote{https://docs.python.org/3.6/library/typing.html#typing.List}
9005 @end deffn
9007 @geindex Parent (pyGHDL.dom.Concurrent.IfGenerateBranch property)
9008 @anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent IfGenerateBranch Parent}@anchor{2c9}
9009 @deffn {Property} Parent:  pyVHDLModel.ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
9011 Returns a reference to the parent entity.
9013 @*Return type: 
9014 ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
9016 @end deffn
9018 @geindex Statements (pyGHDL.dom.Concurrent.IfGenerateBranch property)
9019 @anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent IfGenerateBranch Statements}@anchor{2ca}
9020 @deffn {Property} Statements:  List[pyVHDLModel.SyntaxModel.ConcurrentStatement@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.ConcurrentStatement}]
9022 @*Return type: 
9023 List@footnote{https://docs.python.org/3.6/library/typing.html#typing.List}[ConcurrentStatement@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.ConcurrentStatement}]
9025 @end deffn
9027 @geindex _alternativeLabel (pyGHDL.dom.Concurrent.IfGenerateBranch attribute)
9028 @anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent IfGenerateBranch _alternativeLabel}@anchor{2cb}
9029 @deffn {Attribute} _alternativeLabel:  str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}  =  None
9030 @end deffn
9032 @geindex _parent (pyGHDL.dom.Concurrent.IfGenerateBranch attribute)
9033 @anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent IfGenerateBranch _parent}@anchor{2cc}
9034 @deffn {Attribute} _parent:  ModelEntity
9036 Reference to a parent entity in the model.
9037 @end deffn
9039 @geindex _declaredItems (pyGHDL.dom.Concurrent.IfGenerateBranch attribute)
9040 @anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent IfGenerateBranch _declaredItems}@anchor{2cd}
9041 @deffn {Attribute} _declaredItems:  List
9042 @end deffn
9044 @geindex _statements (pyGHDL.dom.Concurrent.IfGenerateBranch attribute)
9045 @anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent IfGenerateBranch _statements}@anchor{2ce}
9046 @deffn {Attribute} _statements:  List[ConcurrentStatement]
9047 @end deffn
9049 @geindex _condition (pyGHDL.dom.Concurrent.IfGenerateBranch attribute)
9050 @anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent IfGenerateBranch _condition}@anchor{2cf}
9051 @deffn {Attribute} _condition:  ExpressionUnion
9052 @end deffn
9053 @end deffn
9055 @geindex ElsifGenerateBranch (class in pyGHDL.dom.Concurrent)
9056 @anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent ElsifGenerateBranch}@anchor{256}
9057 @deffn {Class} pyGHDL.dom.Concurrent.ElsifGenerateBranch (branchNode, condition, declaredItems=None, statements=None, alternativeLabel=None)
9059 @subsubheading Inheritance
9061 @image{inheritance-6767c6d2d990f3b47bb07b31c0f6a402a8fe4be7,,,[graphviz],png}
9063 @subsubheading Members
9066 @geindex parse() (pyGHDL.dom.Concurrent.ElsifGenerateBranch class method)
9067 @anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent ElsifGenerateBranch parse}@anchor{2d0}
9068 @deffn {Method} classmethod  parse (generateNode, condition)
9070 @*Return type: 
9071 @ref{256,,ElsifGenerateBranch}
9073 @end deffn
9075 @geindex Condition (pyGHDL.dom.Concurrent.ElsifGenerateBranch property)
9076 @anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent ElsifGenerateBranch Condition}@anchor{2d1}
9077 @deffn {Property} Condition:  Union[BaseExpression,  @ref{203,,QualifiedExpression},  @ref{204,,FunctionCall},  @ref{205,,TypeConversion},  @ref{206,,Constant},  ConstantSymbol,  @ref{207,,Variable},  VariableSymbol,  @ref{208,,Signal},  SignalSymbol,  Literal]
9079 @*Return type: 
9080 Union@footnote{https://docs.python.org/3.6/library/typing.html#typing.Union}[BaseExpression@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.BaseExpression}, QualifiedExpression@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.QualifiedExpression}, FunctionCall@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.FunctionCall}, TypeConversion@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.TypeConversion}, Constant@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Constant}, ConstantSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.ConstantSymbol}, Variable@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Variable}, VariableSymbol, Signal@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Signal}, SignalSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.SignalSymbol}, @code{Literal}]
9082 @end deffn
9084 @geindex DeclaredItems (pyGHDL.dom.Concurrent.ElsifGenerateBranch property)
9085 @anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent ElsifGenerateBranch DeclaredItems}@anchor{2d2}
9086 @deffn {Property} DeclaredItems:  List
9088 @*Return type: 
9089 List@footnote{https://docs.python.org/3.6/library/typing.html#typing.List}
9091 @end deffn
9093 @geindex Parent (pyGHDL.dom.Concurrent.ElsifGenerateBranch property)
9094 @anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent ElsifGenerateBranch Parent}@anchor{2d3}
9095 @deffn {Property} Parent:  pyVHDLModel.ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
9097 Returns a reference to the parent entity.
9099 @*Return type: 
9100 ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
9102 @end deffn
9104 @geindex Statements (pyGHDL.dom.Concurrent.ElsifGenerateBranch property)
9105 @anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent ElsifGenerateBranch Statements}@anchor{2d4}
9106 @deffn {Property} Statements:  List[pyVHDLModel.SyntaxModel.ConcurrentStatement@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.ConcurrentStatement}]
9108 @*Return type: 
9109 List@footnote{https://docs.python.org/3.6/library/typing.html#typing.List}[ConcurrentStatement@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.ConcurrentStatement}]
9111 @end deffn
9113 @geindex _alternativeLabel (pyGHDL.dom.Concurrent.ElsifGenerateBranch attribute)
9114 @anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent ElsifGenerateBranch _alternativeLabel}@anchor{2d5}
9115 @deffn {Attribute} _alternativeLabel:  str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}  =  None
9116 @end deffn
9118 @geindex _parent (pyGHDL.dom.Concurrent.ElsifGenerateBranch attribute)
9119 @anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent ElsifGenerateBranch _parent}@anchor{2d6}
9120 @deffn {Attribute} _parent:  ModelEntity
9122 Reference to a parent entity in the model.
9123 @end deffn
9125 @geindex _declaredItems (pyGHDL.dom.Concurrent.ElsifGenerateBranch attribute)
9126 @anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent ElsifGenerateBranch _declaredItems}@anchor{2d7}
9127 @deffn {Attribute} _declaredItems:  List
9128 @end deffn
9130 @geindex _statements (pyGHDL.dom.Concurrent.ElsifGenerateBranch attribute)
9131 @anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent ElsifGenerateBranch _statements}@anchor{2d8}
9132 @deffn {Attribute} _statements:  List[ConcurrentStatement]
9133 @end deffn
9135 @geindex _condition (pyGHDL.dom.Concurrent.ElsifGenerateBranch attribute)
9136 @anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent ElsifGenerateBranch _condition}@anchor{2d9}
9137 @deffn {Attribute} _condition:  ExpressionUnion
9138 @end deffn
9139 @end deffn
9141 @geindex ElseGenerateBranch (class in pyGHDL.dom.Concurrent)
9142 @anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent ElseGenerateBranch}@anchor{257}
9143 @deffn {Class} pyGHDL.dom.Concurrent.ElseGenerateBranch (branchNode, declaredItems=None, statements=None, alternativeLabel=None)
9145 @subsubheading Inheritance
9147 @image{inheritance-7614b3c8eafe710ae7296d2e11f6d21cfe45e9fe,,,[graphviz],png}
9149 @subsubheading Members
9152 @geindex parse() (pyGHDL.dom.Concurrent.ElseGenerateBranch class method)
9153 @anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent ElseGenerateBranch parse}@anchor{2da}
9154 @deffn {Method} classmethod  parse (generateNode)
9156 @*Return type: 
9157 @ref{257,,ElseGenerateBranch}
9159 @end deffn
9161 @geindex DeclaredItems (pyGHDL.dom.Concurrent.ElseGenerateBranch property)
9162 @anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent ElseGenerateBranch DeclaredItems}@anchor{2db}
9163 @deffn {Property} DeclaredItems:  List
9165 @*Return type: 
9166 List@footnote{https://docs.python.org/3.6/library/typing.html#typing.List}
9168 @end deffn
9170 @geindex Parent (pyGHDL.dom.Concurrent.ElseGenerateBranch property)
9171 @anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent ElseGenerateBranch Parent}@anchor{2dc}
9172 @deffn {Property} Parent:  pyVHDLModel.ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
9174 Returns a reference to the parent entity.
9176 @*Return type: 
9177 ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
9179 @end deffn
9181 @geindex Statements (pyGHDL.dom.Concurrent.ElseGenerateBranch property)
9182 @anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent ElseGenerateBranch Statements}@anchor{2dd}
9183 @deffn {Property} Statements:  List[pyVHDLModel.SyntaxModel.ConcurrentStatement@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.ConcurrentStatement}]
9185 @*Return type: 
9186 List@footnote{https://docs.python.org/3.6/library/typing.html#typing.List}[ConcurrentStatement@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.ConcurrentStatement}]
9188 @end deffn
9190 @geindex _alternativeLabel (pyGHDL.dom.Concurrent.ElseGenerateBranch attribute)
9191 @anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent ElseGenerateBranch _alternativeLabel}@anchor{2de}
9192 @deffn {Attribute} _alternativeLabel:  str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}  =  None
9193 @end deffn
9195 @geindex _parent (pyGHDL.dom.Concurrent.ElseGenerateBranch attribute)
9196 @anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent ElseGenerateBranch _parent}@anchor{2df}
9197 @deffn {Attribute} _parent:  ModelEntity
9199 Reference to a parent entity in the model.
9200 @end deffn
9202 @geindex _declaredItems (pyGHDL.dom.Concurrent.ElseGenerateBranch attribute)
9203 @anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent ElseGenerateBranch _declaredItems}@anchor{2e0}
9204 @deffn {Attribute} _declaredItems:  List
9205 @end deffn
9207 @geindex _statements (pyGHDL.dom.Concurrent.ElseGenerateBranch attribute)
9208 @anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent ElseGenerateBranch _statements}@anchor{2e1}
9209 @deffn {Attribute} _statements:  List[ConcurrentStatement]
9210 @end deffn
9211 @end deffn
9213 @geindex IfGenerateStatement (class in pyGHDL.dom.Concurrent)
9214 @anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent IfGenerateStatement}@anchor{258}
9215 @deffn {Class} pyGHDL.dom.Concurrent.IfGenerateStatement (generateNode, label, ifBranch, elsifBranches=None, elseBranch=None)
9217 @subsubheading Inheritance
9219 @image{inheritance-fd18a8c91cdb99e7d36716b13b5a135ae7982f9b,,,[graphviz],png}
9221 @subsubheading Members
9224 @geindex parse() (pyGHDL.dom.Concurrent.IfGenerateStatement class method)
9225 @anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent IfGenerateStatement parse}@anchor{2e2}
9226 @deffn {Method} classmethod  parse (generateNode, label)
9228 @*Return type: 
9229 @ref{258,,IfGenerateStatement}
9231 @end deffn
9233 @geindex ElseBranch (pyGHDL.dom.Concurrent.IfGenerateStatement property)
9234 @anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent IfGenerateStatement ElseBranch}@anchor{2e3}
9235 @deffn {Property} ElseBranch:  pyVHDLModel.SyntaxModel.ElseGenerateBranch@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.ElseGenerateBranch}
9237 @*Return type: 
9238 ElseGenerateBranch@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.ElseGenerateBranch}
9240 @end deffn
9242 @geindex ElsifBranches (pyGHDL.dom.Concurrent.IfGenerateStatement property)
9243 @anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent IfGenerateStatement ElsifBranches}@anchor{2e4}
9244 @deffn {Property} ElsifBranches:  List[pyVHDLModel.SyntaxModel.ElsifGenerateBranch@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.ElsifGenerateBranch}]
9246 @*Return type: 
9247 List@footnote{https://docs.python.org/3.6/library/typing.html#typing.List}[ElsifGenerateBranch@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.ElsifGenerateBranch}]
9249 @end deffn
9251 @geindex IfBranch (pyGHDL.dom.Concurrent.IfGenerateStatement property)
9252 @anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent IfGenerateStatement IfBranch}@anchor{2e5}
9253 @deffn {Property} IfBranch:  pyVHDLModel.SyntaxModel.IfGenerateBranch@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.IfGenerateBranch}
9255 @*Return type: 
9256 IfGenerateBranch@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.IfGenerateBranch}
9258 @end deffn
9260 @geindex Label (pyGHDL.dom.Concurrent.IfGenerateStatement property)
9261 @anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent IfGenerateStatement Label}@anchor{2e6}
9262 @deffn {Property} Label:  str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
9264 Returns a model entity’s label.
9266 @*Return type: 
9267 str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
9269 @end deffn
9271 @geindex Parent (pyGHDL.dom.Concurrent.IfGenerateStatement property)
9272 @anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent IfGenerateStatement Parent}@anchor{2e7}
9273 @deffn {Property} Parent:  pyVHDLModel.ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
9275 Returns a reference to the parent entity.
9277 @*Return type: 
9278 ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
9280 @end deffn
9282 @geindex Position (pyGHDL.dom.Concurrent.IfGenerateStatement property)
9283 @anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent IfGenerateStatement Position}@anchor{2e8}
9284 @deffn {Property} Position:  @ref{200,,pyGHDL.dom.Position}
9286 @*Return type: 
9287 @ref{200,,Position}
9289 @end deffn
9291 @geindex _position (pyGHDL.dom.Concurrent.IfGenerateStatement attribute)
9292 @anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent IfGenerateStatement _position}@anchor{2e9}
9293 @deffn {Attribute} _position:  @ref{200,,pyGHDL.dom.Position}  =  None
9294 @end deffn
9296 @geindex _ifBranch (pyGHDL.dom.Concurrent.IfGenerateStatement attribute)
9297 @anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent IfGenerateStatement _ifBranch}@anchor{2ea}
9298 @deffn {Attribute} _ifBranch:  pyVHDLModel.SyntaxModel.IfGenerateBranch@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.IfGenerateBranch}
9299 @end deffn
9301 @geindex _elsifBranches (pyGHDL.dom.Concurrent.IfGenerateStatement attribute)
9302 @anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent IfGenerateStatement _elsifBranches}@anchor{2eb}
9303 @deffn {Attribute} _elsifBranches:  List[pyVHDLModel.SyntaxModel.ElsifGenerateBranch@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.ElsifGenerateBranch}]
9304 @end deffn
9306 @geindex _elseBranch (pyGHDL.dom.Concurrent.IfGenerateStatement attribute)
9307 @anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent IfGenerateStatement _elseBranch}@anchor{2ec}
9308 @deffn {Attribute} _elseBranch:  pyVHDLModel.SyntaxModel.ElseGenerateBranch@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.ElseGenerateBranch}
9309 @end deffn
9311 @geindex _parent (pyGHDL.dom.Concurrent.IfGenerateStatement attribute)
9312 @anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent IfGenerateStatement _parent}@anchor{2ed}
9313 @deffn {Attribute} _parent:  ModelEntity
9315 Reference to a parent entity in the model.
9316 @end deffn
9318 @geindex _label (pyGHDL.dom.Concurrent.IfGenerateStatement attribute)
9319 @anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent IfGenerateStatement _label}@anchor{2ee}
9320 @deffn {Attribute} _label:  str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
9322 The label of a model entity.
9323 @end deffn
9325 @geindex _iirNode (pyGHDL.dom.Concurrent.IfGenerateStatement attribute)
9326 @anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent IfGenerateStatement _iirNode}@anchor{2ef}
9327 @deffn {Attribute} _iirNode:  pyGHDL.libghdl._types.Iir
9328 @end deffn
9329 @end deffn
9331 @geindex IndexedGenerateChoice (class in pyGHDL.dom.Concurrent)
9332 @anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent IndexedGenerateChoice}@anchor{259}
9333 @deffn {Class} pyGHDL.dom.Concurrent.IndexedGenerateChoice (node, expression)
9335 @subsubheading Inheritance
9337 @image{inheritance-71726307c1d60faa3f91b329f348aa59a6d560bb,,,[graphviz],png}
9339 @subsubheading Members
9342 @geindex Expression (pyGHDL.dom.Concurrent.IndexedGenerateChoice property)
9343 @anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent IndexedGenerateChoice Expression}@anchor{2f0}
9344 @deffn {Property} Expression:  Union[BaseExpression,  @ref{203,,QualifiedExpression},  @ref{204,,FunctionCall},  @ref{205,,TypeConversion},  @ref{206,,Constant},  ConstantSymbol,  @ref{207,,Variable},  VariableSymbol,  @ref{208,,Signal},  SignalSymbol,  Literal]
9346 @*Return type: 
9347 Union@footnote{https://docs.python.org/3.6/library/typing.html#typing.Union}[BaseExpression@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.BaseExpression}, QualifiedExpression@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.QualifiedExpression}, FunctionCall@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.FunctionCall}, TypeConversion@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.TypeConversion}, Constant@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Constant}, ConstantSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.ConstantSymbol}, Variable@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Variable}, VariableSymbol, Signal@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Signal}, SignalSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.SignalSymbol}, @code{Literal}]
9349 @end deffn
9351 @geindex Parent (pyGHDL.dom.Concurrent.IndexedGenerateChoice property)
9352 @anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent IndexedGenerateChoice Parent}@anchor{2f1}
9353 @deffn {Property} Parent:  pyVHDLModel.ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
9355 Returns a reference to the parent entity.
9357 @*Return type: 
9358 ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
9360 @end deffn
9362 @geindex Position (pyGHDL.dom.Concurrent.IndexedGenerateChoice property)
9363 @anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent IndexedGenerateChoice Position}@anchor{2f2}
9364 @deffn {Property} Position:  @ref{200,,pyGHDL.dom.Position}
9366 @*Return type: 
9367 @ref{200,,Position}
9369 @end deffn
9371 @geindex _position (pyGHDL.dom.Concurrent.IndexedGenerateChoice attribute)
9372 @anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent IndexedGenerateChoice _position}@anchor{2f3}
9373 @deffn {Attribute} _position:  @ref{200,,Position}  =  None
9374 @end deffn
9376 @geindex _expression (pyGHDL.dom.Concurrent.IndexedGenerateChoice attribute)
9377 @anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent IndexedGenerateChoice _expression}@anchor{2f4}
9378 @deffn {Attribute} _expression:  Union[BaseExpression,  @ref{203,,QualifiedExpression},  @ref{204,,FunctionCall},  @ref{205,,TypeConversion},  @ref{206,,Constant},  ConstantSymbol,  @ref{207,,Variable},  VariableSymbol,  @ref{208,,Signal},  SignalSymbol,  Literal]
9379 @end deffn
9381 @geindex _parent (pyGHDL.dom.Concurrent.IndexedGenerateChoice attribute)
9382 @anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent IndexedGenerateChoice _parent}@anchor{2f5}
9383 @deffn {Attribute} _parent:  ModelEntity
9385 Reference to a parent entity in the model.
9386 @end deffn
9388 @geindex _iirNode (pyGHDL.dom.Concurrent.IndexedGenerateChoice attribute)
9389 @anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent IndexedGenerateChoice _iirNode}@anchor{2f6}
9390 @deffn {Attribute} _iirNode:  Iir
9391 @end deffn
9392 @end deffn
9394 @geindex RangedGenerateChoice (class in pyGHDL.dom.Concurrent)
9395 @anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent RangedGenerateChoice}@anchor{25a}
9396 @deffn {Class} pyGHDL.dom.Concurrent.RangedGenerateChoice (node, rng)
9398 @subsubheading Inheritance
9400 @image{inheritance-a7e2d94cf868d241eaef284e94783ce7fe3cbf0e,,,[graphviz],png}
9402 @subsubheading Members
9405 @geindex Parent (pyGHDL.dom.Concurrent.RangedGenerateChoice property)
9406 @anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent RangedGenerateChoice Parent}@anchor{2f7}
9407 @deffn {Property} Parent:  pyVHDLModel.ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
9409 Returns a reference to the parent entity.
9411 @*Return type: 
9412 ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
9414 @end deffn
9416 @geindex Position (pyGHDL.dom.Concurrent.RangedGenerateChoice property)
9417 @anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent RangedGenerateChoice Position}@anchor{2f8}
9418 @deffn {Property} Position:  @ref{200,,pyGHDL.dom.Position}
9420 @*Return type: 
9421 @ref{200,,Position}
9423 @end deffn
9425 @geindex Range (pyGHDL.dom.Concurrent.RangedGenerateChoice property)
9426 @anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent RangedGenerateChoice Range}@anchor{2f9}
9427 @deffn {Property} Range:  pyVHDLModel.SyntaxModel.Range@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Range}
9429 @*Return type: 
9430 Range@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Range}
9432 @end deffn
9434 @geindex _position (pyGHDL.dom.Concurrent.RangedGenerateChoice attribute)
9435 @anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent RangedGenerateChoice _position}@anchor{2fa}
9436 @deffn {Attribute} _position:  @ref{200,,pyGHDL.dom.Position}  =  None
9437 @end deffn
9439 @geindex _range (pyGHDL.dom.Concurrent.RangedGenerateChoice attribute)
9440 @anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent RangedGenerateChoice _range}@anchor{2fb}
9441 @deffn {Attribute} _range:  @ref{21a,,pyGHDL.dom.Range.Range}
9442 @end deffn
9444 @geindex _parent (pyGHDL.dom.Concurrent.RangedGenerateChoice attribute)
9445 @anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent RangedGenerateChoice _parent}@anchor{2fc}
9446 @deffn {Attribute} _parent:  ModelEntity
9448 Reference to a parent entity in the model.
9449 @end deffn
9451 @geindex _iirNode (pyGHDL.dom.Concurrent.RangedGenerateChoice attribute)
9452 @anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent RangedGenerateChoice _iirNode}@anchor{2fd}
9453 @deffn {Attribute} _iirNode:  pyGHDL.libghdl._types.Iir
9454 @end deffn
9455 @end deffn
9457 @geindex GenerateCase (class in pyGHDL.dom.Concurrent)
9458 @anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent GenerateCase}@anchor{25b}
9459 @deffn {Class} pyGHDL.dom.Concurrent.GenerateCase (node, choices, declaredItems=None, statements=None, alternativeLabel=None)
9461 @subsubheading Inheritance
9463 @image{inheritance-a3fd74dd600bca8a567b0b02fc9c03c8b2e1b3b7,,,[graphviz],png}
9465 @subsubheading Members
9468 @geindex parse() (pyGHDL.dom.Concurrent.GenerateCase class method)
9469 @anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent GenerateCase parse}@anchor{2fe}
9470 @deffn {Method} classmethod  parse (caseNode, choices)
9472 @*Return type: 
9473 @ref{25b,,GenerateCase}
9475 @end deffn
9477 @geindex Choices (pyGHDL.dom.Concurrent.GenerateCase property)
9478 @anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent GenerateCase Choices}@anchor{2ff}
9479 @deffn {Property} Choices:  List[pyVHDLModel.SyntaxModel.ConcurrentChoice@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.ConcurrentChoice}]
9481 @*Return type: 
9482 List@footnote{https://docs.python.org/3.6/library/typing.html#typing.List}[ConcurrentChoice@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.ConcurrentChoice}]
9484 @end deffn
9486 @geindex DeclaredItems (pyGHDL.dom.Concurrent.GenerateCase property)
9487 @anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent GenerateCase DeclaredItems}@anchor{300}
9488 @deffn {Property} DeclaredItems:  List
9490 @*Return type: 
9491 List@footnote{https://docs.python.org/3.6/library/typing.html#typing.List}
9493 @end deffn
9495 @geindex Label (pyGHDL.dom.Concurrent.GenerateCase property)
9496 @anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent GenerateCase Label}@anchor{301}
9497 @deffn {Property} Label:  str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
9499 Returns a model entity’s label.
9501 @*Return type: 
9502 str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
9504 @end deffn
9506 @geindex Parent (pyGHDL.dom.Concurrent.GenerateCase property)
9507 @anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent GenerateCase Parent}@anchor{302}
9508 @deffn {Property} Parent:  pyVHDLModel.ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
9510 Returns a reference to the parent entity.
9512 @*Return type: 
9513 ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
9515 @end deffn
9517 @geindex Position (pyGHDL.dom.Concurrent.GenerateCase property)
9518 @anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent GenerateCase Position}@anchor{303}
9519 @deffn {Property} Position:  @ref{200,,pyGHDL.dom.Position}
9521 @*Return type: 
9522 @ref{200,,Position}
9524 @end deffn
9526 @geindex Statements (pyGHDL.dom.Concurrent.GenerateCase property)
9527 @anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent GenerateCase Statements}@anchor{304}
9528 @deffn {Property} Statements:  List[pyVHDLModel.SyntaxModel.ConcurrentStatement@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.ConcurrentStatement}]
9530 @*Return type: 
9531 List@footnote{https://docs.python.org/3.6/library/typing.html#typing.List}[ConcurrentStatement@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.ConcurrentStatement}]
9533 @end deffn
9535 @geindex _position (pyGHDL.dom.Concurrent.GenerateCase attribute)
9536 @anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent GenerateCase _position}@anchor{305}
9537 @deffn {Attribute} _position:  @ref{200,,Position}  =  None
9538 @end deffn
9540 @geindex _choices (pyGHDL.dom.Concurrent.GenerateCase attribute)
9541 @anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent GenerateCase _choices}@anchor{306}
9542 @deffn {Attribute} _choices:  List[pyVHDLModel.SyntaxModel.ConcurrentChoice@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.ConcurrentChoice}]
9543 @end deffn
9545 @geindex _parent (pyGHDL.dom.Concurrent.GenerateCase attribute)
9546 @anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent GenerateCase _parent}@anchor{307}
9547 @deffn {Attribute} _parent:  ModelEntity
9549 Reference to a parent entity in the model.
9550 @end deffn
9552 @geindex _label (pyGHDL.dom.Concurrent.GenerateCase attribute)
9553 @anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent GenerateCase _label}@anchor{308}
9554 @deffn {Attribute} _label:  str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
9556 The label of a model entity.
9557 @end deffn
9559 @geindex _declaredItems (pyGHDL.dom.Concurrent.GenerateCase attribute)
9560 @anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent GenerateCase _declaredItems}@anchor{309}
9561 @deffn {Attribute} _declaredItems:  List
9562 @end deffn
9564 @geindex _statements (pyGHDL.dom.Concurrent.GenerateCase attribute)
9565 @anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent GenerateCase _statements}@anchor{30a}
9566 @deffn {Attribute} _statements:  List[ConcurrentStatement]
9567 @end deffn
9569 @geindex _iirNode (pyGHDL.dom.Concurrent.GenerateCase attribute)
9570 @anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent GenerateCase _iirNode}@anchor{30b}
9571 @deffn {Attribute} _iirNode:  Iir
9572 @end deffn
9573 @end deffn
9575 @geindex OthersGenerateCase (class in pyGHDL.dom.Concurrent)
9576 @anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent OthersGenerateCase}@anchor{25c}
9577 @deffn {Class} pyGHDL.dom.Concurrent.OthersGenerateCase (caseNode, declaredItems=None, statements=None, alternativeLabel=None)
9579 @subsubheading Inheritance
9581 @image{inheritance-d07a807b1f2c4a9bc5d93c0d8b8441d3b0d93c98,,,[graphviz],png}
9583 @subsubheading Members
9586 @geindex parse() (pyGHDL.dom.Concurrent.OthersGenerateCase class method)
9587 @anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent OthersGenerateCase parse}@anchor{30c}
9588 @deffn {Method} classmethod  parse (caseNode)
9590 @*Return type: 
9591 @ref{25c,,OthersGenerateCase}
9593 @end deffn
9595 @geindex DeclaredItems (pyGHDL.dom.Concurrent.OthersGenerateCase property)
9596 @anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent OthersGenerateCase DeclaredItems}@anchor{30d}
9597 @deffn {Property} DeclaredItems:  List
9599 @*Return type: 
9600 List@footnote{https://docs.python.org/3.6/library/typing.html#typing.List}
9602 @end deffn
9604 @geindex Label (pyGHDL.dom.Concurrent.OthersGenerateCase property)
9605 @anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent OthersGenerateCase Label}@anchor{30e}
9606 @deffn {Property} Label:  str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
9608 Returns a model entity’s label.
9610 @*Return type: 
9611 str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
9613 @end deffn
9615 @geindex Parent (pyGHDL.dom.Concurrent.OthersGenerateCase property)
9616 @anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent OthersGenerateCase Parent}@anchor{30f}
9617 @deffn {Property} Parent:  pyVHDLModel.ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
9619 Returns a reference to the parent entity.
9621 @*Return type: 
9622 ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
9624 @end deffn
9626 @geindex Position (pyGHDL.dom.Concurrent.OthersGenerateCase property)
9627 @anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent OthersGenerateCase Position}@anchor{310}
9628 @deffn {Property} Position:  @ref{200,,pyGHDL.dom.Position}
9630 @*Return type: 
9631 @ref{200,,Position}
9633 @end deffn
9635 @geindex Statements (pyGHDL.dom.Concurrent.OthersGenerateCase property)
9636 @anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent OthersGenerateCase Statements}@anchor{311}
9637 @deffn {Property} Statements:  List[pyVHDLModel.SyntaxModel.ConcurrentStatement@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.ConcurrentStatement}]
9639 @*Return type: 
9640 List@footnote{https://docs.python.org/3.6/library/typing.html#typing.List}[ConcurrentStatement@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.ConcurrentStatement}]
9642 @end deffn
9644 @geindex _position (pyGHDL.dom.Concurrent.OthersGenerateCase attribute)
9645 @anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent OthersGenerateCase _position}@anchor{312}
9646 @deffn {Attribute} _position:  @ref{200,,Position}  =  None
9647 @end deffn
9649 @geindex _parent (pyGHDL.dom.Concurrent.OthersGenerateCase attribute)
9650 @anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent OthersGenerateCase _parent}@anchor{313}
9651 @deffn {Attribute} _parent:  ModelEntity
9653 Reference to a parent entity in the model.
9654 @end deffn
9656 @geindex _label (pyGHDL.dom.Concurrent.OthersGenerateCase attribute)
9657 @anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent OthersGenerateCase _label}@anchor{314}
9658 @deffn {Attribute} _label:  str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
9660 The label of a model entity.
9661 @end deffn
9663 @geindex _declaredItems (pyGHDL.dom.Concurrent.OthersGenerateCase attribute)
9664 @anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent OthersGenerateCase _declaredItems}@anchor{315}
9665 @deffn {Attribute} _declaredItems:  List
9666 @end deffn
9668 @geindex _statements (pyGHDL.dom.Concurrent.OthersGenerateCase attribute)
9669 @anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent OthersGenerateCase _statements}@anchor{316}
9670 @deffn {Attribute} _statements:  List[ConcurrentStatement]
9671 @end deffn
9673 @geindex _iirNode (pyGHDL.dom.Concurrent.OthersGenerateCase attribute)
9674 @anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent OthersGenerateCase _iirNode}@anchor{317}
9675 @deffn {Attribute} _iirNode:  Iir
9676 @end deffn
9677 @end deffn
9679 @geindex CaseGenerateStatement (class in pyGHDL.dom.Concurrent)
9680 @anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent CaseGenerateStatement}@anchor{25d}
9681 @deffn {Class} pyGHDL.dom.Concurrent.CaseGenerateStatement (generateNode, label, expression, cases)
9683 @subsubheading Inheritance
9685 @image{inheritance-7ad689913c655e5e9cae53c92b8f6c6a79612c88,,,[graphviz],png}
9687 @subsubheading Members
9690 @geindex parse() (pyGHDL.dom.Concurrent.CaseGenerateStatement class method)
9691 @anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent CaseGenerateStatement parse}@anchor{318}
9692 @deffn {Method} classmethod  parse (generateNode, label)
9694 @*Return type: 
9695 @ref{25d,,CaseGenerateStatement}
9697 @end deffn
9699 @geindex Cases (pyGHDL.dom.Concurrent.CaseGenerateStatement property)
9700 @anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent CaseGenerateStatement Cases}@anchor{319}
9701 @deffn {Property} Cases:  List[pyVHDLModel.SyntaxModel.GenerateCase@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.GenerateCase}]
9703 @*Return type: 
9704 List@footnote{https://docs.python.org/3.6/library/typing.html#typing.List}[GenerateCase@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.GenerateCase}]
9706 @end deffn
9708 @geindex Label (pyGHDL.dom.Concurrent.CaseGenerateStatement property)
9709 @anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent CaseGenerateStatement Label}@anchor{31a}
9710 @deffn {Property} Label:  str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
9712 Returns a model entity’s label.
9714 @*Return type: 
9715 str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
9717 @end deffn
9719 @geindex Parent (pyGHDL.dom.Concurrent.CaseGenerateStatement property)
9720 @anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent CaseGenerateStatement Parent}@anchor{31b}
9721 @deffn {Property} Parent:  pyVHDLModel.ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
9723 Returns a reference to the parent entity.
9725 @*Return type: 
9726 ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
9728 @end deffn
9730 @geindex Position (pyGHDL.dom.Concurrent.CaseGenerateStatement property)
9731 @anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent CaseGenerateStatement Position}@anchor{31c}
9732 @deffn {Property} Position:  @ref{200,,pyGHDL.dom.Position}
9734 @*Return type: 
9735 @ref{200,,Position}
9737 @end deffn
9739 @geindex SelectExpression (pyGHDL.dom.Concurrent.CaseGenerateStatement property)
9740 @anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent CaseGenerateStatement SelectExpression}@anchor{31d}
9741 @deffn {Property} SelectExpression:  Union[BaseExpression,  @ref{203,,QualifiedExpression},  @ref{204,,FunctionCall},  @ref{205,,TypeConversion},  @ref{206,,Constant},  ConstantSymbol,  @ref{207,,Variable},  VariableSymbol,  @ref{208,,Signal},  SignalSymbol,  Literal]
9743 @*Return type: 
9744 Union@footnote{https://docs.python.org/3.6/library/typing.html#typing.Union}[BaseExpression@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.BaseExpression}, QualifiedExpression@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.QualifiedExpression}, FunctionCall@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.FunctionCall}, TypeConversion@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.TypeConversion}, Constant@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Constant}, ConstantSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.ConstantSymbol}, Variable@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Variable}, VariableSymbol, Signal@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Signal}, SignalSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.SignalSymbol}, @code{Literal}]
9746 @end deffn
9748 @geindex _position (pyGHDL.dom.Concurrent.CaseGenerateStatement attribute)
9749 @anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent CaseGenerateStatement _position}@anchor{31e}
9750 @deffn {Attribute} _position:  @ref{200,,Position}  =  None
9751 @end deffn
9753 @geindex _expression (pyGHDL.dom.Concurrent.CaseGenerateStatement attribute)
9754 @anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent CaseGenerateStatement _expression}@anchor{31f}
9755 @deffn {Attribute} _expression:  Union[BaseExpression,  @ref{203,,QualifiedExpression},  @ref{204,,FunctionCall},  @ref{205,,TypeConversion},  @ref{206,,Constant},  ConstantSymbol,  @ref{207,,Variable},  VariableSymbol,  @ref{208,,Signal},  SignalSymbol,  Literal]
9756 @end deffn
9758 @geindex _cases (pyGHDL.dom.Concurrent.CaseGenerateStatement attribute)
9759 @anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent CaseGenerateStatement _cases}@anchor{320}
9760 @deffn {Attribute} _cases:  List[pyVHDLModel.SyntaxModel.GenerateCase@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.GenerateCase}]
9761 @end deffn
9763 @geindex _parent (pyGHDL.dom.Concurrent.CaseGenerateStatement attribute)
9764 @anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent CaseGenerateStatement _parent}@anchor{321}
9765 @deffn {Attribute} _parent:  ModelEntity
9767 Reference to a parent entity in the model.
9768 @end deffn
9770 @geindex _label (pyGHDL.dom.Concurrent.CaseGenerateStatement attribute)
9771 @anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent CaseGenerateStatement _label}@anchor{322}
9772 @deffn {Attribute} _label:  str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
9774 The label of a model entity.
9775 @end deffn
9777 @geindex _iirNode (pyGHDL.dom.Concurrent.CaseGenerateStatement attribute)
9778 @anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent CaseGenerateStatement _iirNode}@anchor{323}
9779 @deffn {Attribute} _iirNode:  Iir
9780 @end deffn
9781 @end deffn
9783 @geindex ForGenerateStatement (class in pyGHDL.dom.Concurrent)
9784 @anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent ForGenerateStatement}@anchor{25e}
9785 @deffn {Class} pyGHDL.dom.Concurrent.ForGenerateStatement (generateNode, label, loopIndex, rng, declaredItems=None, statements=None)
9787 @subsubheading Inheritance
9789 @image{inheritance-f8d351ab01ac3f396a8fcb46589325958ebe7d3b,,,[graphviz],png}
9791 @subsubheading Members
9794 @geindex parse() (pyGHDL.dom.Concurrent.ForGenerateStatement class method)
9795 @anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent ForGenerateStatement parse}@anchor{324}
9796 @deffn {Method} classmethod  parse (generateNode, label)
9798 @*Return type: 
9799 @ref{25e,,ForGenerateStatement}
9801 @end deffn
9803 @geindex DeclaredItems (pyGHDL.dom.Concurrent.ForGenerateStatement property)
9804 @anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent ForGenerateStatement DeclaredItems}@anchor{325}
9805 @deffn {Property} DeclaredItems:  List
9807 @*Return type: 
9808 List@footnote{https://docs.python.org/3.6/library/typing.html#typing.List}
9810 @end deffn
9812 @geindex Label (pyGHDL.dom.Concurrent.ForGenerateStatement property)
9813 @anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent ForGenerateStatement Label}@anchor{326}
9814 @deffn {Property} Label:  str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
9816 Returns a model entity’s label.
9818 @*Return type: 
9819 str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
9821 @end deffn
9823 @geindex LoopIndex (pyGHDL.dom.Concurrent.ForGenerateStatement property)
9824 @anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent ForGenerateStatement LoopIndex}@anchor{327}
9825 @deffn {Property} LoopIndex:  str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
9827 @*Return type: 
9828 str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
9830 @end deffn
9832 @geindex Parent (pyGHDL.dom.Concurrent.ForGenerateStatement property)
9833 @anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent ForGenerateStatement Parent}@anchor{328}
9834 @deffn {Property} Parent:  pyVHDLModel.ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
9836 Returns a reference to the parent entity.
9838 @*Return type: 
9839 ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
9841 @end deffn
9843 @geindex Position (pyGHDL.dom.Concurrent.ForGenerateStatement property)
9844 @anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent ForGenerateStatement Position}@anchor{329}
9845 @deffn {Property} Position:  @ref{200,,pyGHDL.dom.Position}
9847 @*Return type: 
9848 @ref{200,,Position}
9850 @end deffn
9852 @geindex Range (pyGHDL.dom.Concurrent.ForGenerateStatement property)
9853 @anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent ForGenerateStatement Range}@anchor{32a}
9854 @deffn {Property} Range:  pyVHDLModel.SyntaxModel.Range@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Range}
9856 @*Return type: 
9857 Range@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Range}
9859 @end deffn
9861 @geindex Statements (pyGHDL.dom.Concurrent.ForGenerateStatement property)
9862 @anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent ForGenerateStatement Statements}@anchor{32b}
9863 @deffn {Property} Statements:  List[pyVHDLModel.SyntaxModel.ConcurrentStatement@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.ConcurrentStatement}]
9865 @*Return type: 
9866 List@footnote{https://docs.python.org/3.6/library/typing.html#typing.List}[ConcurrentStatement@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.ConcurrentStatement}]
9868 @end deffn
9870 @geindex _position (pyGHDL.dom.Concurrent.ForGenerateStatement attribute)
9871 @anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent ForGenerateStatement _position}@anchor{32c}
9872 @deffn {Attribute} _position:  @ref{200,,Position}  =  None
9873 @end deffn
9875 @geindex _loopIndex (pyGHDL.dom.Concurrent.ForGenerateStatement attribute)
9876 @anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent ForGenerateStatement _loopIndex}@anchor{32d}
9877 @deffn {Attribute} _loopIndex:  str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
9878 @end deffn
9880 @geindex _range (pyGHDL.dom.Concurrent.ForGenerateStatement attribute)
9881 @anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent ForGenerateStatement _range}@anchor{32e}
9882 @deffn {Attribute} _range:  pyVHDLModel.SyntaxModel.Range@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Range}
9883 @end deffn
9885 @geindex _parent (pyGHDL.dom.Concurrent.ForGenerateStatement attribute)
9886 @anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent ForGenerateStatement _parent}@anchor{32f}
9887 @deffn {Attribute} _parent:  ModelEntity
9889 Reference to a parent entity in the model.
9890 @end deffn
9892 @geindex _label (pyGHDL.dom.Concurrent.ForGenerateStatement attribute)
9893 @anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent ForGenerateStatement _label}@anchor{330}
9894 @deffn {Attribute} _label:  str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
9896 The label of a model entity.
9897 @end deffn
9899 @geindex _declaredItems (pyGHDL.dom.Concurrent.ForGenerateStatement attribute)
9900 @anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent ForGenerateStatement _declaredItems}@anchor{331}
9901 @deffn {Attribute} _declaredItems:  List
9902 @end deffn
9904 @geindex _statements (pyGHDL.dom.Concurrent.ForGenerateStatement attribute)
9905 @anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent ForGenerateStatement _statements}@anchor{332}
9906 @deffn {Attribute} _statements:  List[ConcurrentStatement]
9907 @end deffn
9909 @geindex _iirNode (pyGHDL.dom.Concurrent.ForGenerateStatement attribute)
9910 @anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent ForGenerateStatement _iirNode}@anchor{333}
9911 @deffn {Attribute} _iirNode:  Iir
9912 @end deffn
9913 @end deffn
9915 @geindex WaveformElement (class in pyGHDL.dom.Concurrent)
9916 @anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent WaveformElement}@anchor{25f}
9917 @deffn {Class} pyGHDL.dom.Concurrent.WaveformElement (waveNode, expression, after)
9919 @subsubheading Inheritance
9921 @image{inheritance-624c6b611372ca31bbafbc5279c3c8d544519fb7,,,[graphviz],png}
9923 @subsubheading Members
9926 @geindex parse() (pyGHDL.dom.Concurrent.WaveformElement class method)
9927 @anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent WaveformElement parse}@anchor{334}
9928 @deffn {Method} classmethod  parse (waveNode)
9929 @end deffn
9931 @geindex After (pyGHDL.dom.Concurrent.WaveformElement property)
9932 @anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent WaveformElement After}@anchor{335}
9933 @deffn {Property} After:  <property object at 0x10af9d8b8>
9935 @*Return type: 
9936 <property object at 0x10af9d8b8>
9938 @end deffn
9940 @geindex Expression (pyGHDL.dom.Concurrent.WaveformElement property)
9941 @anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent WaveformElement Expression}@anchor{336}
9942 @deffn {Property} Expression:  Union[BaseExpression,  @ref{203,,QualifiedExpression},  @ref{204,,FunctionCall},  @ref{205,,TypeConversion},  @ref{206,,Constant},  ConstantSymbol,  @ref{207,,Variable},  VariableSymbol,  @ref{208,,Signal},  SignalSymbol,  Literal]
9944 @*Return type: 
9945 Union@footnote{https://docs.python.org/3.6/library/typing.html#typing.Union}[BaseExpression@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.BaseExpression}, QualifiedExpression@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.QualifiedExpression}, FunctionCall@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.FunctionCall}, TypeConversion@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.TypeConversion}, Constant@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Constant}, ConstantSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.ConstantSymbol}, Variable@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Variable}, VariableSymbol, Signal@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Signal}, SignalSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.SignalSymbol}, @code{Literal}]
9947 @end deffn
9949 @geindex Parent (pyGHDL.dom.Concurrent.WaveformElement property)
9950 @anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent WaveformElement Parent}@anchor{337}
9951 @deffn {Property} Parent:  pyVHDLModel.ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
9953 Returns a reference to the parent entity.
9955 @*Return type: 
9956 ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
9958 @end deffn
9960 @geindex Position (pyGHDL.dom.Concurrent.WaveformElement property)
9961 @anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent WaveformElement Position}@anchor{338}
9962 @deffn {Property} Position:  @ref{200,,pyGHDL.dom.Position}
9964 @*Return type: 
9965 @ref{200,,Position}
9967 @end deffn
9969 @geindex _position (pyGHDL.dom.Concurrent.WaveformElement attribute)
9970 @anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent WaveformElement _position}@anchor{339}
9971 @deffn {Attribute} _position:  @ref{200,,Position}  =  None
9972 @end deffn
9974 @geindex _expression (pyGHDL.dom.Concurrent.WaveformElement attribute)
9975 @anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent WaveformElement _expression}@anchor{33a}
9976 @deffn {Attribute} _expression:  Union[BaseExpression,  @ref{203,,QualifiedExpression},  @ref{204,,FunctionCall},  @ref{205,,TypeConversion},  @ref{206,,Constant},  ConstantSymbol,  @ref{207,,Variable},  VariableSymbol,  @ref{208,,Signal},  SignalSymbol,  Literal]
9977 @end deffn
9979 @geindex _after (pyGHDL.dom.Concurrent.WaveformElement attribute)
9980 @anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent WaveformElement _after}@anchor{33b}
9981 @deffn {Attribute} _after:  Union[BaseExpression,  @ref{203,,QualifiedExpression},  @ref{204,,FunctionCall},  @ref{205,,TypeConversion},  @ref{206,,Constant},  ConstantSymbol,  @ref{207,,Variable},  VariableSymbol,  @ref{208,,Signal},  SignalSymbol,  Literal]
9982 @end deffn
9984 @geindex _parent (pyGHDL.dom.Concurrent.WaveformElement attribute)
9985 @anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent WaveformElement _parent}@anchor{33c}
9986 @deffn {Attribute} _parent:  ModelEntity
9988 Reference to a parent entity in the model.
9989 @end deffn
9991 @geindex _iirNode (pyGHDL.dom.Concurrent.WaveformElement attribute)
9992 @anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent WaveformElement _iirNode}@anchor{33d}
9993 @deffn {Attribute} _iirNode:  Iir
9994 @end deffn
9995 @end deffn
9997 @geindex ConcurrentSimpleSignalAssignment (class in pyGHDL.dom.Concurrent)
9998 @anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent ConcurrentSimpleSignalAssignment}@anchor{260}
9999 @deffn {Class} pyGHDL.dom.Concurrent.ConcurrentSimpleSignalAssignment (assignmentNode, label, target, waveform)
10001 @subsubheading Inheritance
10003 @image{inheritance-e2375023962df772ac00510b1490eaaba4321bf0,,,[graphviz],png}
10005 @subsubheading Members
10008 @geindex parse() (pyGHDL.dom.Concurrent.ConcurrentSimpleSignalAssignment class method)
10009 @anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent ConcurrentSimpleSignalAssignment parse}@anchor{33e}
10010 @deffn {Method} classmethod  parse (assignmentNode, label)
10012 @*Return type: 
10013 @ref{260,,ConcurrentSimpleSignalAssignment}
10015 @end deffn
10017 @geindex Label (pyGHDL.dom.Concurrent.ConcurrentSimpleSignalAssignment property)
10018 @anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent ConcurrentSimpleSignalAssignment Label}@anchor{33f}
10019 @deffn {Property} Label:  str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
10021 Returns a model entity’s label.
10023 @*Return type: 
10024 str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
10026 @end deffn
10028 @geindex Parent (pyGHDL.dom.Concurrent.ConcurrentSimpleSignalAssignment property)
10029 @anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent ConcurrentSimpleSignalAssignment Parent}@anchor{340}
10030 @deffn {Property} Parent:  pyVHDLModel.ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
10032 Returns a reference to the parent entity.
10034 @*Return type: 
10035 ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
10037 @end deffn
10039 @geindex Position (pyGHDL.dom.Concurrent.ConcurrentSimpleSignalAssignment property)
10040 @anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent ConcurrentSimpleSignalAssignment Position}@anchor{341}
10041 @deffn {Property} Position:  @ref{200,,pyGHDL.dom.Position}
10043 @*Return type: 
10044 @ref{200,,Position}
10046 @end deffn
10048 @geindex Target (pyGHDL.dom.Concurrent.ConcurrentSimpleSignalAssignment property)
10049 @anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent ConcurrentSimpleSignalAssignment Target}@anchor{342}
10050 @deffn {Property} Target:  pyVHDLModel.SyntaxModel.Name@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Name}
10052 @*Return type: 
10053 Name@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Name}
10055 @end deffn
10057 @geindex Waveform (pyGHDL.dom.Concurrent.ConcurrentSimpleSignalAssignment property)
10058 @anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent ConcurrentSimpleSignalAssignment Waveform}@anchor{343}
10059 @deffn {Property} Waveform:  List[pyVHDLModel.SyntaxModel.WaveformElement@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.WaveformElement}]
10061 @*Return type: 
10062 List@footnote{https://docs.python.org/3.6/library/typing.html#typing.List}[WaveformElement@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.WaveformElement}]
10064 @end deffn
10066 @geindex _position (pyGHDL.dom.Concurrent.ConcurrentSimpleSignalAssignment attribute)
10067 @anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent ConcurrentSimpleSignalAssignment _position}@anchor{344}
10068 @deffn {Attribute} _position:  @ref{200,,pyGHDL.dom.Position}  =  None
10069 @end deffn
10071 @geindex _waveform (pyGHDL.dom.Concurrent.ConcurrentSimpleSignalAssignment attribute)
10072 @anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent ConcurrentSimpleSignalAssignment _waveform}@anchor{345}
10073 @deffn {Attribute} _waveform:  List[pyVHDLModel.SyntaxModel.WaveformElement@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.WaveformElement}]
10074 @end deffn
10076 @geindex _parent (pyGHDL.dom.Concurrent.ConcurrentSimpleSignalAssignment attribute)
10077 @anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent ConcurrentSimpleSignalAssignment _parent}@anchor{346}
10078 @deffn {Attribute} _parent:  ModelEntity
10080 Reference to a parent entity in the model.
10081 @end deffn
10083 @geindex _label (pyGHDL.dom.Concurrent.ConcurrentSimpleSignalAssignment attribute)
10084 @anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent ConcurrentSimpleSignalAssignment _label}@anchor{347}
10085 @deffn {Attribute} _label:  str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
10087 The label of a model entity.
10088 @end deffn
10090 @geindex _target (pyGHDL.dom.Concurrent.ConcurrentSimpleSignalAssignment attribute)
10091 @anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent ConcurrentSimpleSignalAssignment _target}@anchor{348}
10092 @deffn {Attribute} _target:  pyVHDLModel.SyntaxModel.Name@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Name}
10093 @end deffn
10095 @geindex _iirNode (pyGHDL.dom.Concurrent.ConcurrentSimpleSignalAssignment attribute)
10096 @anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent ConcurrentSimpleSignalAssignment _iirNode}@anchor{349}
10097 @deffn {Attribute} _iirNode:  pyGHDL.libghdl._types.Iir
10098 @end deffn
10099 @end deffn
10101 @geindex ConcurrentProcedureCall (class in pyGHDL.dom.Concurrent)
10102 @anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent ConcurrentProcedureCall}@anchor{261}
10103 @deffn {Class} pyGHDL.dom.Concurrent.ConcurrentProcedureCall (callNode, label, procedureName, parameterMappings)
10105 @subsubheading Inheritance
10107 @image{inheritance-81b7bd59b9323c921dba35962e22e6fabff9aab1,,,[graphviz],png}
10109 @subsubheading Members
10112 @geindex parse() (pyGHDL.dom.Concurrent.ConcurrentProcedureCall class method)
10113 @anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent ConcurrentProcedureCall parse}@anchor{34a}
10114 @deffn {Method} classmethod  parse (concurrentCallNode, label)
10116 @*Return type: 
10117 @ref{261,,ConcurrentProcedureCall}
10119 @end deffn
10121 @geindex Label (pyGHDL.dom.Concurrent.ConcurrentProcedureCall property)
10122 @anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent ConcurrentProcedureCall Label}@anchor{34b}
10123 @deffn {Property} Label:  str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
10125 Returns a model entity’s label.
10127 @*Return type: 
10128 str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
10130 @end deffn
10132 @geindex ParameterMappings (pyGHDL.dom.Concurrent.ConcurrentProcedureCall property)
10133 @anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent ConcurrentProcedureCall ParameterMappings}@anchor{34c}
10134 @deffn {Property} ParameterMappings:  List[pyVHDLModel.SyntaxModel.ParameterAssociationItem@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.ParameterAssociationItem}]
10136 @*Return type: 
10137 List@footnote{https://docs.python.org/3.6/library/typing.html#typing.List}[ParameterAssociationItem@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.ParameterAssociationItem}]
10139 @end deffn
10141 @geindex Parent (pyGHDL.dom.Concurrent.ConcurrentProcedureCall property)
10142 @anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent ConcurrentProcedureCall Parent}@anchor{34d}
10143 @deffn {Property} Parent:  pyVHDLModel.ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
10145 Returns a reference to the parent entity.
10147 @*Return type: 
10148 ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
10150 @end deffn
10152 @geindex Position (pyGHDL.dom.Concurrent.ConcurrentProcedureCall property)
10153 @anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent ConcurrentProcedureCall Position}@anchor{34e}
10154 @deffn {Property} Position:  @ref{200,,pyGHDL.dom.Position}
10156 @*Return type: 
10157 @ref{200,,Position}
10159 @end deffn
10161 @geindex Procedure (pyGHDL.dom.Concurrent.ConcurrentProcedureCall property)
10162 @anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent ConcurrentProcedureCall Procedure}@anchor{34f}
10163 @deffn {Property} Procedure:  pyVHDLModel.SyntaxModel.Name@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Name}
10165 @*Return type: 
10166 Name@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Name}
10168 @end deffn
10170 @geindex _position (pyGHDL.dom.Concurrent.ConcurrentProcedureCall attribute)
10171 @anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent ConcurrentProcedureCall _position}@anchor{350}
10172 @deffn {Attribute} _position:  @ref{200,,Position}  =  None
10173 @end deffn
10175 @geindex _parent (pyGHDL.dom.Concurrent.ConcurrentProcedureCall attribute)
10176 @anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent ConcurrentProcedureCall _parent}@anchor{351}
10177 @deffn {Attribute} _parent:  ModelEntity
10179 Reference to a parent entity in the model.
10180 @end deffn
10182 @geindex _label (pyGHDL.dom.Concurrent.ConcurrentProcedureCall attribute)
10183 @anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent ConcurrentProcedureCall _label}@anchor{352}
10184 @deffn {Attribute} _label:  str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
10186 The label of a model entity.
10187 @end deffn
10189 @geindex _procedure (pyGHDL.dom.Concurrent.ConcurrentProcedureCall attribute)
10190 @anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent ConcurrentProcedureCall _procedure}@anchor{353}
10191 @deffn {Attribute} _procedure:  @ref{354,,Name}
10192 @end deffn
10194 @geindex _parameterMappings (pyGHDL.dom.Concurrent.ConcurrentProcedureCall attribute)
10195 @anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent ConcurrentProcedureCall _parameterMappings}@anchor{355}
10196 @deffn {Attribute} _parameterMappings:  List[@ref{24f,,ParameterAssociationItem}]
10197 @end deffn
10199 @geindex _iirNode (pyGHDL.dom.Concurrent.ConcurrentProcedureCall attribute)
10200 @anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent ConcurrentProcedureCall _iirNode}@anchor{356}
10201 @deffn {Attribute} _iirNode:  Iir
10202 @end deffn
10203 @end deffn
10205 @geindex ConcurrentAssertStatement (class in pyGHDL.dom.Concurrent)
10206 @anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent ConcurrentAssertStatement}@anchor{262}
10207 @deffn {Class} pyGHDL.dom.Concurrent.ConcurrentAssertStatement (assertNode, condition, message=None, severity=None, label=None)
10209 @subsubheading Inheritance
10211 @image{inheritance-cb563367ff8b61efa7eb6991baeef45a5fe3ecca,,,[graphviz],png}
10213 @subsubheading Members
10216 @geindex parse() (pyGHDL.dom.Concurrent.ConcurrentAssertStatement class method)
10217 @anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent ConcurrentAssertStatement parse}@anchor{357}
10218 @deffn {Method} classmethod  parse (assertNode, label)
10220 @*Return type: 
10221 @ref{262,,ConcurrentAssertStatement}
10223 @end deffn
10225 @geindex Condition (pyGHDL.dom.Concurrent.ConcurrentAssertStatement property)
10226 @anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent ConcurrentAssertStatement Condition}@anchor{358}
10227 @deffn {Property} Condition:  Union[BaseExpression,  @ref{203,,QualifiedExpression},  @ref{204,,FunctionCall},  @ref{205,,TypeConversion},  @ref{206,,Constant},  ConstantSymbol,  @ref{207,,Variable},  VariableSymbol,  @ref{208,,Signal},  SignalSymbol,  Literal]
10229 @*Return type: 
10230 Union@footnote{https://docs.python.org/3.6/library/typing.html#typing.Union}[BaseExpression@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.BaseExpression}, QualifiedExpression@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.QualifiedExpression}, FunctionCall@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.FunctionCall}, TypeConversion@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.TypeConversion}, Constant@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Constant}, ConstantSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.ConstantSymbol}, Variable@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Variable}, VariableSymbol, Signal@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Signal}, SignalSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.SignalSymbol}, @code{Literal}]
10232 @end deffn
10234 @geindex Label (pyGHDL.dom.Concurrent.ConcurrentAssertStatement property)
10235 @anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent ConcurrentAssertStatement Label}@anchor{359}
10236 @deffn {Property} Label:  str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
10238 Returns a model entity’s label.
10240 @*Return type: 
10241 str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
10243 @end deffn
10245 @geindex Message (pyGHDL.dom.Concurrent.ConcurrentAssertStatement property)
10246 @anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent ConcurrentAssertStatement Message}@anchor{35a}
10247 @deffn {Property} Message:  Union[BaseExpression,  @ref{203,,QualifiedExpression},  @ref{204,,FunctionCall},  @ref{205,,TypeConversion},  @ref{206,,Constant},  ConstantSymbol,  @ref{207,,Variable},  VariableSymbol,  @ref{208,,Signal},  SignalSymbol,  Literal]
10249 @*Return type: 
10250 Union@footnote{https://docs.python.org/3.6/library/typing.html#typing.Union}[BaseExpression@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.BaseExpression}, QualifiedExpression@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.QualifiedExpression}, FunctionCall@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.FunctionCall}, TypeConversion@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.TypeConversion}, Constant@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Constant}, ConstantSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.ConstantSymbol}, Variable@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Variable}, VariableSymbol, Signal@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Signal}, SignalSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.SignalSymbol}, @code{Literal}]
10252 @end deffn
10254 @geindex Parent (pyGHDL.dom.Concurrent.ConcurrentAssertStatement property)
10255 @anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent ConcurrentAssertStatement Parent}@anchor{35b}
10256 @deffn {Property} Parent:  pyVHDLModel.ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
10258 Returns a reference to the parent entity.
10260 @*Return type: 
10261 ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
10263 @end deffn
10265 @geindex Position (pyGHDL.dom.Concurrent.ConcurrentAssertStatement property)
10266 @anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent ConcurrentAssertStatement Position}@anchor{35c}
10267 @deffn {Property} Position:  @ref{200,,pyGHDL.dom.Position}
10269 @*Return type: 
10270 @ref{200,,Position}
10272 @end deffn
10274 @geindex Severity (pyGHDL.dom.Concurrent.ConcurrentAssertStatement property)
10275 @anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent ConcurrentAssertStatement Severity}@anchor{35d}
10276 @deffn {Property} Severity:  Union[BaseExpression,  @ref{203,,QualifiedExpression},  @ref{204,,FunctionCall},  @ref{205,,TypeConversion},  @ref{206,,Constant},  ConstantSymbol,  @ref{207,,Variable},  VariableSymbol,  @ref{208,,Signal},  SignalSymbol,  Literal]
10278 @*Return type: 
10279 Union@footnote{https://docs.python.org/3.6/library/typing.html#typing.Union}[BaseExpression@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.BaseExpression}, QualifiedExpression@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.QualifiedExpression}, FunctionCall@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.FunctionCall}, TypeConversion@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.TypeConversion}, Constant@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Constant}, ConstantSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.ConstantSymbol}, Variable@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Variable}, VariableSymbol, Signal@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Signal}, SignalSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.SignalSymbol}, @code{Literal}]
10281 @end deffn
10283 @geindex _position (pyGHDL.dom.Concurrent.ConcurrentAssertStatement attribute)
10284 @anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent ConcurrentAssertStatement _position}@anchor{35e}
10285 @deffn {Attribute} _position:  @ref{200,,Position}  =  None
10286 @end deffn
10288 @geindex _parent (pyGHDL.dom.Concurrent.ConcurrentAssertStatement attribute)
10289 @anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent ConcurrentAssertStatement _parent}@anchor{35f}
10290 @deffn {Attribute} _parent:  ModelEntity
10292 Reference to a parent entity in the model.
10293 @end deffn
10295 @geindex _label (pyGHDL.dom.Concurrent.ConcurrentAssertStatement attribute)
10296 @anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent ConcurrentAssertStatement _label}@anchor{360}
10297 @deffn {Attribute} _label:  str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
10299 The label of a model entity.
10300 @end deffn
10302 @geindex _condition (pyGHDL.dom.Concurrent.ConcurrentAssertStatement attribute)
10303 @anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent ConcurrentAssertStatement _condition}@anchor{361}
10304 @deffn {Attribute} _condition:  ExpressionUnion
10305 @end deffn
10307 @geindex _message (pyGHDL.dom.Concurrent.ConcurrentAssertStatement attribute)
10308 @anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent ConcurrentAssertStatement _message}@anchor{362}
10309 @deffn {Attribute} _message:  ExpressionUnion
10310 @end deffn
10312 @geindex _severity (pyGHDL.dom.Concurrent.ConcurrentAssertStatement attribute)
10313 @anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent ConcurrentAssertStatement _severity}@anchor{363}
10314 @deffn {Attribute} _severity:  ExpressionUnion
10315 @end deffn
10317 @geindex _iirNode (pyGHDL.dom.Concurrent.ConcurrentAssertStatement attribute)
10318 @anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent ConcurrentAssertStatement _iirNode}@anchor{364}
10319 @deffn {Attribute} _iirNode:  Iir
10320 @end deffn
10321 @end deffn
10323 @c # Load pre-defined aliases and graphical characters like © from docutils
10324 @c # <file> is used to denote the special path
10325 @c # <Python>\Lib\site-packages\docutils\parsers\rst\include
10327 @c This data file has been placed in the public domain.
10329 @c Derived from the Unicode character mappings available from
10330 @c <http://www.w3.org/2003/entities/xml/>.
10331 @c Processed by unicode2rstsubs.py, part of Docutils:
10332 @c <http://docutils.sourceforge.net>.
10334 @c This data file has been placed in the public domain.
10336 @c Derived from the Unicode character mappings available from
10337 @c <http://www.w3.org/2003/entities/xml/>.
10338 @c Processed by unicode2rstsubs.py, part of Docutils:
10339 @c <http://docutils.sourceforge.net>.
10341 @c # define a hard line break for HTML
10343 @node pyGHDL dom DesignUnit,pyGHDL dom Expression,pyGHDL dom Concurrent,pyGHDL dom
10344 @anchor{pyGHDL/pyGHDL dom DesignUnit doc}@anchor{365}@anchor{pyGHDL/pyGHDL dom DesignUnit module-pyGHDL dom DesignUnit}@anchor{a}@anchor{pyGHDL/pyGHDL dom DesignUnit pyghdl-dom-designunit}@anchor{366}
10345 @subsection pyGHDL.dom.DesignUnit
10348 @geindex module; pyGHDL.dom.DesignUnit
10350 This module contains all DOM classes for VHDL’s design units (@ref{367,,context},
10351 @ref{368,,architecture}, @ref{369,,package},
10352 @ref{36a,,package body}, @ref{36b,,context} and
10353 @ref{36c,,configuration}.
10355 @c #-----------------------------------
10357 @strong{Classes}
10360 @itemize -
10362 @item 
10363 @ref{36d,,LibraryClause}:
10364 @code{ModelEntity} is the base class for all classes in the VHDL language model,
10366 @item 
10367 @ref{36e,,UseClause}:
10368 @code{ModelEntity} is the base class for all classes in the VHDL language model,
10370 @item 
10371 @ref{36f,,ContextReference}:
10372 @code{ModelEntity} is the base class for all classes in the VHDL language model,
10374 @item 
10375 @ref{367,,Entity}:
10376 A @code{PrimaryUnit} is a base-class for all primary units.
10378 @item 
10379 @ref{368,,Architecture}:
10380 A @code{SecondaryUnit} is a base-class for all secondary units.
10382 @item 
10383 @ref{370,,Component}:
10384 @code{ModelEntity} is the base class for all classes in the VHDL language model,
10386 @item 
10387 @ref{369,,Package}:
10388 A @code{PrimaryUnit} is a base-class for all primary units.
10390 @item 
10391 @ref{36a,,PackageBody}:
10392 A @code{SecondaryUnit} is a base-class for all secondary units.
10394 @item 
10395 @ref{371,,PackageInstantiation}:
10396 A @code{PrimaryUnit} is a base-class for all primary units.
10398 @item 
10399 @ref{36b,,Context}:
10400 A @code{PrimaryUnit} is a base-class for all primary units.
10402 @item 
10403 @ref{36c,,Configuration}:
10404 A @code{PrimaryUnit} is a base-class for all primary units.
10405 @end itemize
10407 @c #-----------------------------------
10409 @geindex LibraryClause (class in pyGHDL.dom.DesignUnit)
10410 @anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit LibraryClause}@anchor{36d}
10411 @deffn {Class} pyGHDL.dom.DesignUnit.LibraryClause (libraryNode, names)
10413 @subsubheading Inheritance
10415 @image{inheritance-07a8570d4ba3ec9cf4acadd20c7ac2a064f6df5d,,,[graphviz],png}
10417 @subsubheading Members
10420 @geindex Names (pyGHDL.dom.DesignUnit.LibraryClause property)
10421 @anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit LibraryClause Names}@anchor{372}
10422 @deffn {Property} Names:  List[pyVHDLModel.SyntaxModel.Name@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Name}]
10424 @*Return type: 
10425 List@footnote{https://docs.python.org/3.6/library/typing.html#typing.List}[Name@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Name}]
10427 @end deffn
10429 @geindex Parent (pyGHDL.dom.DesignUnit.LibraryClause property)
10430 @anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit LibraryClause Parent}@anchor{373}
10431 @deffn {Property} Parent:  pyVHDLModel.ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
10433 Returns a reference to the parent entity.
10435 @*Return type: 
10436 ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
10438 @end deffn
10440 @geindex Position (pyGHDL.dom.DesignUnit.LibraryClause property)
10441 @anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit LibraryClause Position}@anchor{374}
10442 @deffn {Property} Position:  @ref{200,,pyGHDL.dom.Position}
10444 @*Return type: 
10445 @ref{200,,Position}
10447 @end deffn
10449 @geindex _position (pyGHDL.dom.DesignUnit.LibraryClause attribute)
10450 @anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit LibraryClause _position}@anchor{375}
10451 @deffn {Attribute} _position:  @ref{200,,pyGHDL.dom.Position}  =  None
10452 @end deffn
10454 @geindex _names (pyGHDL.dom.DesignUnit.LibraryClause attribute)
10455 @anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit LibraryClause _names}@anchor{376}
10456 @deffn {Attribute} _names:  List[pyVHDLModel.SyntaxModel.Name@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Name}]
10457 @end deffn
10459 @geindex _parent (pyGHDL.dom.DesignUnit.LibraryClause attribute)
10460 @anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit LibraryClause _parent}@anchor{377}
10461 @deffn {Attribute} _parent:  ModelEntity
10463 Reference to a parent entity in the model.
10464 @end deffn
10466 @geindex _iirNode (pyGHDL.dom.DesignUnit.LibraryClause attribute)
10467 @anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit LibraryClause _iirNode}@anchor{378}
10468 @deffn {Attribute} _iirNode:  pyGHDL.libghdl._types.Iir
10469 @end deffn
10470 @end deffn
10472 @geindex UseClause (class in pyGHDL.dom.DesignUnit)
10473 @anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit UseClause}@anchor{36e}
10474 @deffn {Class} pyGHDL.dom.DesignUnit.UseClause (useNode, names)
10476 @subsubheading Inheritance
10478 @image{inheritance-536e0cb0adc72a2129c9ff0b4193c412c8b27f2d,,,[graphviz],png}
10480 @subsubheading Members
10483 @geindex parse() (pyGHDL.dom.DesignUnit.UseClause class method)
10484 @anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit UseClause parse}@anchor{379}
10485 @deffn {Method} classmethod  parse (useNode)
10486 @end deffn
10488 @geindex Names (pyGHDL.dom.DesignUnit.UseClause property)
10489 @anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit UseClause Names}@anchor{37a}
10490 @deffn {Property} Names:  List[pyVHDLModel.SyntaxModel.Name@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Name}]
10492 @*Return type: 
10493 List@footnote{https://docs.python.org/3.6/library/typing.html#typing.List}[Name@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Name}]
10495 @end deffn
10497 @geindex Parent (pyGHDL.dom.DesignUnit.UseClause property)
10498 @anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit UseClause Parent}@anchor{37b}
10499 @deffn {Property} Parent:  pyVHDLModel.ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
10501 Returns a reference to the parent entity.
10503 @*Return type: 
10504 ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
10506 @end deffn
10508 @geindex Position (pyGHDL.dom.DesignUnit.UseClause property)
10509 @anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit UseClause Position}@anchor{37c}
10510 @deffn {Property} Position:  @ref{200,,pyGHDL.dom.Position}
10512 @*Return type: 
10513 @ref{200,,Position}
10515 @end deffn
10517 @geindex _position (pyGHDL.dom.DesignUnit.UseClause attribute)
10518 @anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit UseClause _position}@anchor{37d}
10519 @deffn {Attribute} _position:  @ref{200,,pyGHDL.dom.Position}  =  None
10520 @end deffn
10522 @geindex _names (pyGHDL.dom.DesignUnit.UseClause attribute)
10523 @anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit UseClause _names}@anchor{37e}
10524 @deffn {Attribute} _names:  List[pyVHDLModel.SyntaxModel.Name@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Name}]
10525 @end deffn
10527 @geindex _parent (pyGHDL.dom.DesignUnit.UseClause attribute)
10528 @anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit UseClause _parent}@anchor{37f}
10529 @deffn {Attribute} _parent:  ModelEntity
10531 Reference to a parent entity in the model.
10532 @end deffn
10534 @geindex _iirNode (pyGHDL.dom.DesignUnit.UseClause attribute)
10535 @anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit UseClause _iirNode}@anchor{380}
10536 @deffn {Attribute} _iirNode:  pyGHDL.libghdl._types.Iir
10537 @end deffn
10538 @end deffn
10540 @geindex ContextReference (class in pyGHDL.dom.DesignUnit)
10541 @anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit ContextReference}@anchor{36f}
10542 @deffn {Class} pyGHDL.dom.DesignUnit.ContextReference (contextNode, names)
10544 @subsubheading Inheritance
10546 @image{inheritance-fdc824edecb8cc089da7ebd5e971d1ff117830de,,,[graphviz],png}
10548 @subsubheading Members
10551 @geindex parse() (pyGHDL.dom.DesignUnit.ContextReference class method)
10552 @anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit ContextReference parse}@anchor{381}
10553 @deffn {Method} classmethod  parse (contextNode)
10554 @end deffn
10556 @geindex Names (pyGHDL.dom.DesignUnit.ContextReference property)
10557 @anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit ContextReference Names}@anchor{382}
10558 @deffn {Property} Names:  List[pyVHDLModel.SyntaxModel.Name@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Name}]
10560 @*Return type: 
10561 List@footnote{https://docs.python.org/3.6/library/typing.html#typing.List}[Name@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Name}]
10563 @end deffn
10565 @geindex Parent (pyGHDL.dom.DesignUnit.ContextReference property)
10566 @anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit ContextReference Parent}@anchor{383}
10567 @deffn {Property} Parent:  pyVHDLModel.ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
10569 Returns a reference to the parent entity.
10571 @*Return type: 
10572 ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
10574 @end deffn
10576 @geindex Position (pyGHDL.dom.DesignUnit.ContextReference property)
10577 @anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit ContextReference Position}@anchor{384}
10578 @deffn {Property} Position:  @ref{200,,pyGHDL.dom.Position}
10580 @*Return type: 
10581 @ref{200,,Position}
10583 @end deffn
10585 @geindex _position (pyGHDL.dom.DesignUnit.ContextReference attribute)
10586 @anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit ContextReference _position}@anchor{385}
10587 @deffn {Attribute} _position:  @ref{200,,pyGHDL.dom.Position}  =  None
10588 @end deffn
10590 @geindex _names (pyGHDL.dom.DesignUnit.ContextReference attribute)
10591 @anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit ContextReference _names}@anchor{386}
10592 @deffn {Attribute} _names:  List[pyVHDLModel.SyntaxModel.Name@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Name}]
10593 @end deffn
10595 @geindex _parent (pyGHDL.dom.DesignUnit.ContextReference attribute)
10596 @anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit ContextReference _parent}@anchor{387}
10597 @deffn {Attribute} _parent:  ModelEntity
10599 Reference to a parent entity in the model.
10600 @end deffn
10602 @geindex _iirNode (pyGHDL.dom.DesignUnit.ContextReference attribute)
10603 @anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit ContextReference _iirNode}@anchor{388}
10604 @deffn {Attribute} _iirNode:  pyGHDL.libghdl._types.Iir
10605 @end deffn
10606 @end deffn
10608 @geindex Entity (class in pyGHDL.dom.DesignUnit)
10609 @anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit Entity}@anchor{367}
10610 @deffn {Class} pyGHDL.dom.DesignUnit.Entity (node, identifier, contextItems=None, genericItems=None, portItems=None, declaredItems=None, statements=None)
10612 @subsubheading Inheritance
10614 @image{inheritance-3e67f1a5a421dfc98e10e6d5f761bd48b0c4b30f,,,[graphviz],png}
10616 @subsubheading Members
10619 @geindex parse() (pyGHDL.dom.DesignUnit.Entity class method)
10620 @anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit Entity parse}@anchor{389}
10621 @deffn {Method} classmethod  parse (entityNode, contextItems)
10622 @end deffn
10624 @geindex Architectures (pyGHDL.dom.DesignUnit.Entity property)
10625 @anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit Entity Architectures}@anchor{38a}
10626 @deffn {Property} Architectures:  List[pyVHDLModel.SyntaxModel.Architecture@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Architecture}]
10628 @*Return type: 
10629 List@footnote{https://docs.python.org/3.6/library/typing.html#typing.List}[Architecture@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Architecture}]
10631 @end deffn
10633 @geindex ContextItems (pyGHDL.dom.DesignUnit.Entity property)
10634 @anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit Entity ContextItems}@anchor{38b}
10635 @deffn {Property} ContextItems:  List[LibraryClauseUseClauseContextReference]
10637 @*Return type: 
10638 List@footnote{https://docs.python.org/3.6/library/typing.html#typing.List}[LibraryClauseUseClauseContextReference]
10640 @end deffn
10642 @geindex ContextReferences (pyGHDL.dom.DesignUnit.Entity property)
10643 @anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit Entity ContextReferences}@anchor{38c}
10644 @deffn {Property} ContextReferences:  List[@ref{36f,,ContextReference}]
10646 @*Return type: 
10647 List@footnote{https://docs.python.org/3.6/library/typing.html#typing.List}[ContextReference]
10649 @end deffn
10651 @geindex DeclaredItems (pyGHDL.dom.DesignUnit.Entity property)
10652 @anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit Entity DeclaredItems}@anchor{38d}
10653 @deffn {Property} DeclaredItems:  List
10655 @*Return type: 
10656 List@footnote{https://docs.python.org/3.6/library/typing.html#typing.List}
10658 @end deffn
10660 @geindex GenericItems (pyGHDL.dom.DesignUnit.Entity property)
10661 @anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit Entity GenericItems}@anchor{38e}
10662 @deffn {Property} GenericItems:  List[pyVHDLModel.SyntaxModel.GenericInterfaceItem@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.GenericInterfaceItem}]
10664 @*Return type: 
10665 List@footnote{https://docs.python.org/3.6/library/typing.html#typing.List}[GenericInterfaceItem@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.GenericInterfaceItem}]
10667 @end deffn
10669 @geindex Identifier (pyGHDL.dom.DesignUnit.Entity property)
10670 @anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit Entity Identifier}@anchor{38f}
10671 @deffn {Property} Identifier:  str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
10673 Returns a model entity’s identifier (name).
10675 @*Return type: 
10676 str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
10678 @end deffn
10680 @geindex Library (pyGHDL.dom.DesignUnit.Entity property)
10681 @anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit Entity Library}@anchor{390}
10682 @deffn {Property} Library:  @ref{391,,Library}
10684 @*Return type: 
10685 @ref{391,,Library}
10687 @end deffn
10689 @geindex LibraryReferences (pyGHDL.dom.DesignUnit.Entity property)
10690 @anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit Entity LibraryReferences}@anchor{392}
10691 @deffn {Property} LibraryReferences:  List[@ref{36d,,LibraryClause}]
10693 @*Return type: 
10694 List@footnote{https://docs.python.org/3.6/library/typing.html#typing.List}[LibraryClause]
10696 @end deffn
10698 @geindex PackageReferences (pyGHDL.dom.DesignUnit.Entity property)
10699 @anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit Entity PackageReferences}@anchor{393}
10700 @deffn {Property} PackageReferences:  List[@ref{36e,,UseClause}]
10702 @*Return type: 
10703 List@footnote{https://docs.python.org/3.6/library/typing.html#typing.List}[UseClause]
10705 @end deffn
10707 @geindex Parent (pyGHDL.dom.DesignUnit.Entity property)
10708 @anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit Entity Parent}@anchor{394}
10709 @deffn {Property} Parent:  pyVHDLModel.ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
10711 Returns a reference to the parent entity.
10713 @*Return type: 
10714 ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
10716 @end deffn
10718 @geindex PortItems (pyGHDL.dom.DesignUnit.Entity property)
10719 @anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit Entity PortItems}@anchor{395}
10720 @deffn {Property} PortItems:  List[pyVHDLModel.SyntaxModel.PortInterfaceItem@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.PortInterfaceItem}]
10722 @*Return type: 
10723 List@footnote{https://docs.python.org/3.6/library/typing.html#typing.List}[PortInterfaceItem@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.PortInterfaceItem}]
10725 @end deffn
10727 @geindex Position (pyGHDL.dom.DesignUnit.Entity property)
10728 @anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit Entity Position}@anchor{396}
10729 @deffn {Property} Position:  @ref{200,,pyGHDL.dom.Position}
10731 @*Return type: 
10732 @ref{200,,Position}
10734 @end deffn
10736 @geindex Statements (pyGHDL.dom.DesignUnit.Entity property)
10737 @anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit Entity Statements}@anchor{397}
10738 @deffn {Property} Statements:  List[pyVHDLModel.SyntaxModel.ConcurrentStatement@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.ConcurrentStatement}]
10740 @*Return type: 
10741 List@footnote{https://docs.python.org/3.6/library/typing.html#typing.List}[ConcurrentStatement@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.ConcurrentStatement}]
10743 @end deffn
10745 @geindex _position (pyGHDL.dom.DesignUnit.Entity attribute)
10746 @anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit Entity _position}@anchor{398}
10747 @deffn {Attribute} _position:  @ref{200,,Position}  =  None
10748 @end deffn
10750 @geindex _genericItems (pyGHDL.dom.DesignUnit.Entity attribute)
10751 @anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit Entity _genericItems}@anchor{399}
10752 @deffn {Attribute} _genericItems:  List[pyVHDLModel.SyntaxModel.GenericInterfaceItem@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.GenericInterfaceItem}]
10753 @end deffn
10755 @geindex _portItems (pyGHDL.dom.DesignUnit.Entity attribute)
10756 @anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit Entity _portItems}@anchor{39a}
10757 @deffn {Attribute} _portItems:  List[pyVHDLModel.SyntaxModel.PortInterfaceItem@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.PortInterfaceItem}]
10758 @end deffn
10760 @geindex _declaredItems (pyGHDL.dom.DesignUnit.Entity attribute)
10761 @anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit Entity _declaredItems}@anchor{39b}
10762 @deffn {Attribute} _declaredItems:  List
10763 @end deffn
10765 @geindex _statements (pyGHDL.dom.DesignUnit.Entity attribute)
10766 @anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit Entity _statements}@anchor{39c}
10767 @deffn {Attribute} _statements:  List[ConcurrentStatement]
10768 @end deffn
10770 @geindex _architectures (pyGHDL.dom.DesignUnit.Entity attribute)
10771 @anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit Entity _architectures}@anchor{39d}
10772 @deffn {Attribute} _architectures:  List[@ref{368,,Architecture}]
10773 @end deffn
10775 @geindex _parent (pyGHDL.dom.DesignUnit.Entity attribute)
10776 @anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit Entity _parent}@anchor{39e}
10777 @deffn {Attribute} _parent:  ModelEntity
10779 Reference to a parent entity in the model.
10780 @end deffn
10782 @geindex _identifier (pyGHDL.dom.DesignUnit.Entity attribute)
10783 @anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit Entity _identifier}@anchor{39f}
10784 @deffn {Attribute} _identifier:  str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
10786 The identifier of a model entity.
10787 @end deffn
10789 @geindex _contextItems (pyGHDL.dom.DesignUnit.Entity attribute)
10790 @anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit Entity _contextItems}@anchor{3a0}
10791 @deffn {Attribute} _contextItems:  Nullable[List['ContextUnion']]
10792 @end deffn
10794 @geindex _libraryReferences (pyGHDL.dom.DesignUnit.Entity attribute)
10795 @anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit Entity _libraryReferences}@anchor{3a1}
10796 @deffn {Attribute} _libraryReferences:  Nullable[List['LibraryClause']]
10797 @end deffn
10799 @geindex _packageReferences (pyGHDL.dom.DesignUnit.Entity attribute)
10800 @anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit Entity _packageReferences}@anchor{3a2}
10801 @deffn {Attribute} _packageReferences:  Nullable[List['UseClause']]
10802 @end deffn
10804 @geindex _contextReferences (pyGHDL.dom.DesignUnit.Entity attribute)
10805 @anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit Entity _contextReferences}@anchor{3a3}
10806 @deffn {Attribute} _contextReferences:  Nullable[List['ContextReference']]
10807 @end deffn
10809 @geindex _iirNode (pyGHDL.dom.DesignUnit.Entity attribute)
10810 @anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit Entity _iirNode}@anchor{3a4}
10811 @deffn {Attribute} _iirNode:  Iir
10812 @end deffn
10813 @end deffn
10815 @geindex Architecture (class in pyGHDL.dom.DesignUnit)
10816 @anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit Architecture}@anchor{368}
10817 @deffn {Class} pyGHDL.dom.DesignUnit.Architecture (node, identifier, entity, contextItems=None, declaredItems=None, statements=None)
10819 @subsubheading Inheritance
10821 @image{inheritance-dfb031daa891f26c3ef44d93b12b38c8b61fba73,,,[graphviz],png}
10823 @subsubheading Members
10826 @geindex parse() (pyGHDL.dom.DesignUnit.Architecture class method)
10827 @anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit Architecture parse}@anchor{3a5}
10828 @deffn {Method} classmethod  parse (architectureNode, contextItems)
10829 @end deffn
10831 @geindex ContextItems (pyGHDL.dom.DesignUnit.Architecture property)
10832 @anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit Architecture ContextItems}@anchor{3a6}
10833 @deffn {Property} ContextItems:  List[LibraryClauseUseClauseContextReference]
10835 @*Return type: 
10836 List@footnote{https://docs.python.org/3.6/library/typing.html#typing.List}[LibraryClauseUseClauseContextReference]
10838 @end deffn
10840 @geindex ContextReferences (pyGHDL.dom.DesignUnit.Architecture property)
10841 @anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit Architecture ContextReferences}@anchor{3a7}
10842 @deffn {Property} ContextReferences:  List[@ref{36f,,ContextReference}]
10844 @*Return type: 
10845 List@footnote{https://docs.python.org/3.6/library/typing.html#typing.List}[ContextReference]
10847 @end deffn
10849 @geindex DeclaredItems (pyGHDL.dom.DesignUnit.Architecture property)
10850 @anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit Architecture DeclaredItems}@anchor{3a8}
10851 @deffn {Property} DeclaredItems:  List
10853 @*Return type: 
10854 List@footnote{https://docs.python.org/3.6/library/typing.html#typing.List}
10856 @end deffn
10858 @geindex Entity (pyGHDL.dom.DesignUnit.Architecture property)
10859 @anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit Architecture Entity}@anchor{3a9}
10860 @deffn {Property} Entity:  pyVHDLModel.SyntaxModel.EntitySymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.EntitySymbol}
10862 @*Return type: 
10863 EntitySymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.EntitySymbol}
10865 @end deffn
10867 @geindex Identifier (pyGHDL.dom.DesignUnit.Architecture property)
10868 @anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit Architecture Identifier}@anchor{3aa}
10869 @deffn {Property} Identifier:  str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
10871 Returns a model entity’s identifier (name).
10873 @*Return type: 
10874 str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
10876 @end deffn
10878 @geindex Library (pyGHDL.dom.DesignUnit.Architecture property)
10879 @anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit Architecture Library}@anchor{3ab}
10880 @deffn {Property} Library:  pyVHDLModel.SyntaxModel.Library@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Library}
10882 @*Return type: 
10883 Library@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Library}
10885 @end deffn
10887 @geindex LibraryReferences (pyGHDL.dom.DesignUnit.Architecture property)
10888 @anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit Architecture LibraryReferences}@anchor{3ac}
10889 @deffn {Property} LibraryReferences:  List[@ref{36d,,LibraryClause}]
10891 @*Return type: 
10892 List@footnote{https://docs.python.org/3.6/library/typing.html#typing.List}[LibraryClause]
10894 @end deffn
10896 @geindex PackageReferences (pyGHDL.dom.DesignUnit.Architecture property)
10897 @anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit Architecture PackageReferences}@anchor{3ad}
10898 @deffn {Property} PackageReferences:  List[@ref{36e,,UseClause}]
10900 @*Return type: 
10901 List@footnote{https://docs.python.org/3.6/library/typing.html#typing.List}[UseClause]
10903 @end deffn
10905 @geindex Parent (pyGHDL.dom.DesignUnit.Architecture property)
10906 @anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit Architecture Parent}@anchor{3ae}
10907 @deffn {Property} Parent:  pyVHDLModel.ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
10909 Returns a reference to the parent entity.
10911 @*Return type: 
10912 ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
10914 @end deffn
10916 @geindex Position (pyGHDL.dom.DesignUnit.Architecture property)
10917 @anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit Architecture Position}@anchor{3af}
10918 @deffn {Property} Position:  @ref{200,,pyGHDL.dom.Position}
10920 @*Return type: 
10921 @ref{200,,Position}
10923 @end deffn
10925 @geindex Statements (pyGHDL.dom.DesignUnit.Architecture property)
10926 @anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit Architecture Statements}@anchor{3b0}
10927 @deffn {Property} Statements:  List[pyVHDLModel.SyntaxModel.ConcurrentStatement@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.ConcurrentStatement}]
10929 @*Return type: 
10930 List@footnote{https://docs.python.org/3.6/library/typing.html#typing.List}[ConcurrentStatement@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.ConcurrentStatement}]
10932 @end deffn
10934 @geindex _library (pyGHDL.dom.DesignUnit.Architecture attribute)
10935 @anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit Architecture _library}@anchor{3b1}
10936 @deffn {Attribute} _library:  pyVHDLModel.SyntaxModel.Library@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Library}  =  None
10937 @end deffn
10939 @geindex _position (pyGHDL.dom.DesignUnit.Architecture attribute)
10940 @anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit Architecture _position}@anchor{3b2}
10941 @deffn {Attribute} _position:  @ref{200,,Position}  =  None
10942 @end deffn
10944 @geindex _entity (pyGHDL.dom.DesignUnit.Architecture attribute)
10945 @anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit Architecture _entity}@anchor{3b3}
10946 @deffn {Attribute} _entity:  pyVHDLModel.SyntaxModel.EntitySymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.EntitySymbol}
10947 @end deffn
10949 @geindex _declaredItems (pyGHDL.dom.DesignUnit.Architecture attribute)
10950 @anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit Architecture _declaredItems}@anchor{3b4}
10951 @deffn {Attribute} _declaredItems:  List
10952 @end deffn
10954 @geindex _statements (pyGHDL.dom.DesignUnit.Architecture attribute)
10955 @anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit Architecture _statements}@anchor{3b5}
10956 @deffn {Attribute} _statements:  List[ConcurrentStatement]
10957 @end deffn
10959 @geindex _parent (pyGHDL.dom.DesignUnit.Architecture attribute)
10960 @anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit Architecture _parent}@anchor{3b6}
10961 @deffn {Attribute} _parent:  ModelEntity
10963 Reference to a parent entity in the model.
10964 @end deffn
10966 @geindex _identifier (pyGHDL.dom.DesignUnit.Architecture attribute)
10967 @anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit Architecture _identifier}@anchor{3b7}
10968 @deffn {Attribute} _identifier:  str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
10970 The identifier of a model entity.
10971 @end deffn
10973 @geindex _contextItems (pyGHDL.dom.DesignUnit.Architecture attribute)
10974 @anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit Architecture _contextItems}@anchor{3b8}
10975 @deffn {Attribute} _contextItems:  Nullable[List['ContextUnion']]
10976 @end deffn
10978 @geindex _libraryReferences (pyGHDL.dom.DesignUnit.Architecture attribute)
10979 @anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit Architecture _libraryReferences}@anchor{3b9}
10980 @deffn {Attribute} _libraryReferences:  Nullable[List['LibraryClause']]
10981 @end deffn
10983 @geindex _packageReferences (pyGHDL.dom.DesignUnit.Architecture attribute)
10984 @anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit Architecture _packageReferences}@anchor{3ba}
10985 @deffn {Attribute} _packageReferences:  Nullable[List['UseClause']]
10986 @end deffn
10988 @geindex _contextReferences (pyGHDL.dom.DesignUnit.Architecture attribute)
10989 @anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit Architecture _contextReferences}@anchor{3bb}
10990 @deffn {Attribute} _contextReferences:  Nullable[List['ContextReference']]
10991 @end deffn
10993 @geindex _iirNode (pyGHDL.dom.DesignUnit.Architecture attribute)
10994 @anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit Architecture _iirNode}@anchor{3bc}
10995 @deffn {Attribute} _iirNode:  Iir
10996 @end deffn
10997 @end deffn
10999 @geindex Component (class in pyGHDL.dom.DesignUnit)
11000 @anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit Component}@anchor{370}
11001 @deffn {Class} pyGHDL.dom.DesignUnit.Component (node, identifier, genericItems=None, portItems=None)
11003 @subsubheading Inheritance
11005 @image{inheritance-de07f962c582211c552765aa6eba99a12681080a,,,[graphviz],png}
11007 @subsubheading Members
11010 @geindex parse() (pyGHDL.dom.DesignUnit.Component class method)
11011 @anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit Component parse}@anchor{3bd}
11012 @deffn {Method} classmethod  parse (componentNode)
11013 @end deffn
11015 @geindex GenericItems (pyGHDL.dom.DesignUnit.Component property)
11016 @anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit Component GenericItems}@anchor{3be}
11017 @deffn {Property} GenericItems:  List[pyVHDLModel.SyntaxModel.GenericInterfaceItem@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.GenericInterfaceItem}]
11019 @*Return type: 
11020 List@footnote{https://docs.python.org/3.6/library/typing.html#typing.List}[GenericInterfaceItem@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.GenericInterfaceItem}]
11022 @end deffn
11024 @geindex Identifier (pyGHDL.dom.DesignUnit.Component property)
11025 @anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit Component Identifier}@anchor{3bf}
11026 @deffn {Property} Identifier:  str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
11028 Returns a model entity’s identifier (name).
11030 @*Return type: 
11031 str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
11033 @end deffn
11035 @geindex Parent (pyGHDL.dom.DesignUnit.Component property)
11036 @anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit Component Parent}@anchor{3c0}
11037 @deffn {Property} Parent:  pyVHDLModel.ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
11039 Returns a reference to the parent entity.
11041 @*Return type: 
11042 ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
11044 @end deffn
11046 @geindex PortItems (pyGHDL.dom.DesignUnit.Component property)
11047 @anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit Component PortItems}@anchor{3c1}
11048 @deffn {Property} PortItems:  List[pyVHDLModel.SyntaxModel.PortInterfaceItem@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.PortInterfaceItem}]
11050 @*Return type: 
11051 List@footnote{https://docs.python.org/3.6/library/typing.html#typing.List}[PortInterfaceItem@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.PortInterfaceItem}]
11053 @end deffn
11055 @geindex Position (pyGHDL.dom.DesignUnit.Component property)
11056 @anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit Component Position}@anchor{3c2}
11057 @deffn {Property} Position:  @ref{200,,pyGHDL.dom.Position}
11059 @*Return type: 
11060 @ref{200,,Position}
11062 @end deffn
11064 @geindex _position (pyGHDL.dom.DesignUnit.Component attribute)
11065 @anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit Component _position}@anchor{3c3}
11066 @deffn {Attribute} _position:  @ref{200,,pyGHDL.dom.Position}  =  None
11067 @end deffn
11069 @geindex _genericItems (pyGHDL.dom.DesignUnit.Component attribute)
11070 @anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit Component _genericItems}@anchor{3c4}
11071 @deffn {Attribute} _genericItems:  List[pyVHDLModel.SyntaxModel.GenericInterfaceItem@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.GenericInterfaceItem}]
11072 @end deffn
11074 @geindex _portItems (pyGHDL.dom.DesignUnit.Component attribute)
11075 @anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit Component _portItems}@anchor{3c5}
11076 @deffn {Attribute} _portItems:  List[pyVHDLModel.SyntaxModel.PortInterfaceItem@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.PortInterfaceItem}]
11077 @end deffn
11079 @geindex _parent (pyGHDL.dom.DesignUnit.Component attribute)
11080 @anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit Component _parent}@anchor{3c6}
11081 @deffn {Attribute} _parent:  ModelEntity
11083 Reference to a parent entity in the model.
11084 @end deffn
11086 @geindex _identifier (pyGHDL.dom.DesignUnit.Component attribute)
11087 @anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit Component _identifier}@anchor{3c7}
11088 @deffn {Attribute} _identifier:  str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
11090 The identifier of a model entity.
11091 @end deffn
11093 @geindex _iirNode (pyGHDL.dom.DesignUnit.Component attribute)
11094 @anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit Component _iirNode}@anchor{3c8}
11095 @deffn {Attribute} _iirNode:  pyGHDL.libghdl._types.Iir
11096 @end deffn
11097 @end deffn
11099 @geindex Package (class in pyGHDL.dom.DesignUnit)
11100 @anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit Package}@anchor{369}
11101 @deffn {Class} pyGHDL.dom.DesignUnit.Package (node, identifier, contextItems=None, genericItems=None, declaredItems=None)
11103 @subsubheading Inheritance
11105 @image{inheritance-7b21337f7dc131879686c0f37259a8bbf944d411,,,[graphviz],png}
11107 @subsubheading Members
11110 @geindex parse() (pyGHDL.dom.DesignUnit.Package class method)
11111 @anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit Package parse}@anchor{3c9}
11112 @deffn {Method} classmethod  parse (packageNode, contextItems)
11113 @end deffn
11115 @geindex ContextItems (pyGHDL.dom.DesignUnit.Package property)
11116 @anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit Package ContextItems}@anchor{3ca}
11117 @deffn {Property} ContextItems:  List[LibraryClauseUseClauseContextReference]
11119 @*Return type: 
11120 List@footnote{https://docs.python.org/3.6/library/typing.html#typing.List}[LibraryClauseUseClauseContextReference]
11122 @end deffn
11124 @geindex ContextReferences (pyGHDL.dom.DesignUnit.Package property)
11125 @anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit Package ContextReferences}@anchor{3cb}
11126 @deffn {Property} ContextReferences:  List[@ref{36f,,ContextReference}]
11128 @*Return type: 
11129 List@footnote{https://docs.python.org/3.6/library/typing.html#typing.List}[ContextReference]
11131 @end deffn
11133 @geindex DeclaredItems (pyGHDL.dom.DesignUnit.Package property)
11134 @anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit Package DeclaredItems}@anchor{3cc}
11135 @deffn {Property} DeclaredItems:  List
11137 @*Return type: 
11138 List@footnote{https://docs.python.org/3.6/library/typing.html#typing.List}
11140 @end deffn
11142 @geindex GenericItems (pyGHDL.dom.DesignUnit.Package property)
11143 @anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit Package GenericItems}@anchor{3cd}
11144 @deffn {Property} GenericItems:  List[pyVHDLModel.SyntaxModel.GenericInterfaceItem@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.GenericInterfaceItem}]
11146 @*Return type: 
11147 List@footnote{https://docs.python.org/3.6/library/typing.html#typing.List}[GenericInterfaceItem@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.GenericInterfaceItem}]
11149 @end deffn
11151 @geindex Identifier (pyGHDL.dom.DesignUnit.Package property)
11152 @anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit Package Identifier}@anchor{3ce}
11153 @deffn {Property} Identifier:  str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
11155 Returns a model entity’s identifier (name).
11157 @*Return type: 
11158 str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
11160 @end deffn
11162 @geindex Library (pyGHDL.dom.DesignUnit.Package property)
11163 @anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit Package Library}@anchor{3cf}
11164 @deffn {Property} Library:  @ref{391,,Library}
11166 @*Return type: 
11167 @ref{391,,Library}
11169 @end deffn
11171 @geindex LibraryReferences (pyGHDL.dom.DesignUnit.Package property)
11172 @anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit Package LibraryReferences}@anchor{3d0}
11173 @deffn {Property} LibraryReferences:  List[@ref{36d,,LibraryClause}]
11175 @*Return type: 
11176 List@footnote{https://docs.python.org/3.6/library/typing.html#typing.List}[LibraryClause]
11178 @end deffn
11180 @geindex PackageReferences (pyGHDL.dom.DesignUnit.Package property)
11181 @anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit Package PackageReferences}@anchor{3d1}
11182 @deffn {Property} PackageReferences:  List[@ref{36e,,UseClause}]
11184 @*Return type: 
11185 List@footnote{https://docs.python.org/3.6/library/typing.html#typing.List}[UseClause]
11187 @end deffn
11189 @geindex Parent (pyGHDL.dom.DesignUnit.Package property)
11190 @anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit Package Parent}@anchor{3d2}
11191 @deffn {Property} Parent:  pyVHDLModel.ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
11193 Returns a reference to the parent entity.
11195 @*Return type: 
11196 ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
11198 @end deffn
11200 @geindex Position (pyGHDL.dom.DesignUnit.Package property)
11201 @anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit Package Position}@anchor{3d3}
11202 @deffn {Property} Position:  @ref{200,,pyGHDL.dom.Position}
11204 @*Return type: 
11205 @ref{200,,Position}
11207 @end deffn
11209 @geindex _position (pyGHDL.dom.DesignUnit.Package attribute)
11210 @anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit Package _position}@anchor{3d4}
11211 @deffn {Attribute} _position:  @ref{200,,Position}  =  None
11212 @end deffn
11214 @geindex _genericItems (pyGHDL.dom.DesignUnit.Package attribute)
11215 @anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit Package _genericItems}@anchor{3d5}
11216 @deffn {Attribute} _genericItems:  List[pyVHDLModel.SyntaxModel.GenericInterfaceItem@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.GenericInterfaceItem}]
11217 @end deffn
11219 @geindex _declaredItems (pyGHDL.dom.DesignUnit.Package attribute)
11220 @anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit Package _declaredItems}@anchor{3d6}
11221 @deffn {Attribute} _declaredItems:  List
11222 @end deffn
11224 @geindex _parent (pyGHDL.dom.DesignUnit.Package attribute)
11225 @anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit Package _parent}@anchor{3d7}
11226 @deffn {Attribute} _parent:  ModelEntity
11228 Reference to a parent entity in the model.
11229 @end deffn
11231 @geindex _identifier (pyGHDL.dom.DesignUnit.Package attribute)
11232 @anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit Package _identifier}@anchor{3d8}
11233 @deffn {Attribute} _identifier:  str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
11235 The identifier of a model entity.
11236 @end deffn
11238 @geindex _contextItems (pyGHDL.dom.DesignUnit.Package attribute)
11239 @anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit Package _contextItems}@anchor{3d9}
11240 @deffn {Attribute} _contextItems:  Nullable[List['ContextUnion']]
11241 @end deffn
11243 @geindex _libraryReferences (pyGHDL.dom.DesignUnit.Package attribute)
11244 @anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit Package _libraryReferences}@anchor{3da}
11245 @deffn {Attribute} _libraryReferences:  Nullable[List['LibraryClause']]
11246 @end deffn
11248 @geindex _packageReferences (pyGHDL.dom.DesignUnit.Package attribute)
11249 @anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit Package _packageReferences}@anchor{3db}
11250 @deffn {Attribute} _packageReferences:  Nullable[List['UseClause']]
11251 @end deffn
11253 @geindex _contextReferences (pyGHDL.dom.DesignUnit.Package attribute)
11254 @anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit Package _contextReferences}@anchor{3dc}
11255 @deffn {Attribute} _contextReferences:  Nullable[List['ContextReference']]
11256 @end deffn
11258 @geindex _iirNode (pyGHDL.dom.DesignUnit.Package attribute)
11259 @anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit Package _iirNode}@anchor{3dd}
11260 @deffn {Attribute} _iirNode:  Iir
11261 @end deffn
11262 @end deffn
11264 @geindex PackageBody (class in pyGHDL.dom.DesignUnit)
11265 @anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit PackageBody}@anchor{36a}
11266 @deffn {Class} pyGHDL.dom.DesignUnit.PackageBody (node, identifier, contextItems=None, declaredItems=None)
11268 @subsubheading Inheritance
11270 @image{inheritance-ef9c0172bd32056025e509085e1fa7f8712ac057,,,[graphviz],png}
11272 @subsubheading Members
11275 @geindex parse() (pyGHDL.dom.DesignUnit.PackageBody class method)
11276 @anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit PackageBody parse}@anchor{3de}
11277 @deffn {Method} classmethod  parse (packageBodyNode, contextItems)
11278 @end deffn
11280 @geindex ContextItems (pyGHDL.dom.DesignUnit.PackageBody property)
11281 @anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit PackageBody ContextItems}@anchor{3df}
11282 @deffn {Property} ContextItems:  List[LibraryClauseUseClauseContextReference]
11284 @*Return type: 
11285 List@footnote{https://docs.python.org/3.6/library/typing.html#typing.List}[LibraryClauseUseClauseContextReference]
11287 @end deffn
11289 @geindex ContextReferences (pyGHDL.dom.DesignUnit.PackageBody property)
11290 @anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit PackageBody ContextReferences}@anchor{3e0}
11291 @deffn {Property} ContextReferences:  List[@ref{36f,,ContextReference}]
11293 @*Return type: 
11294 List@footnote{https://docs.python.org/3.6/library/typing.html#typing.List}[ContextReference]
11296 @end deffn
11298 @geindex DeclaredItems (pyGHDL.dom.DesignUnit.PackageBody property)
11299 @anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit PackageBody DeclaredItems}@anchor{3e1}
11300 @deffn {Property} DeclaredItems:  List
11302 @*Return type: 
11303 List@footnote{https://docs.python.org/3.6/library/typing.html#typing.List}
11305 @end deffn
11307 @geindex Identifier (pyGHDL.dom.DesignUnit.PackageBody property)
11308 @anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit PackageBody Identifier}@anchor{3e2}
11309 @deffn {Property} Identifier:  str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
11311 Returns a model entity’s identifier (name).
11313 @*Return type: 
11314 str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
11316 @end deffn
11318 @geindex LibraryReferences (pyGHDL.dom.DesignUnit.PackageBody property)
11319 @anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit PackageBody LibraryReferences}@anchor{3e3}
11320 @deffn {Property} LibraryReferences:  List[@ref{36d,,LibraryClause}]
11322 @*Return type: 
11323 List@footnote{https://docs.python.org/3.6/library/typing.html#typing.List}[LibraryClause]
11325 @end deffn
11327 @geindex Package (pyGHDL.dom.DesignUnit.PackageBody property)
11328 @anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit PackageBody Package}@anchor{3e4}
11329 @deffn {Property} Package:  pyVHDLModel.SyntaxModel.Package@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Package}
11331 @*Return type: 
11332 Package@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Package}
11334 @end deffn
11336 @geindex PackageReferences (pyGHDL.dom.DesignUnit.PackageBody property)
11337 @anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit PackageBody PackageReferences}@anchor{3e5}
11338 @deffn {Property} PackageReferences:  List[@ref{36e,,UseClause}]
11340 @*Return type: 
11341 List@footnote{https://docs.python.org/3.6/library/typing.html#typing.List}[UseClause]
11343 @end deffn
11345 @geindex Parent (pyGHDL.dom.DesignUnit.PackageBody property)
11346 @anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit PackageBody Parent}@anchor{3e6}
11347 @deffn {Property} Parent:  pyVHDLModel.ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
11349 Returns a reference to the parent entity.
11351 @*Return type: 
11352 ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
11354 @end deffn
11356 @geindex Position (pyGHDL.dom.DesignUnit.PackageBody property)
11357 @anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit PackageBody Position}@anchor{3e7}
11358 @deffn {Property} Position:  @ref{200,,pyGHDL.dom.Position}
11360 @*Return type: 
11361 @ref{200,,Position}
11363 @end deffn
11365 @geindex _position (pyGHDL.dom.DesignUnit.PackageBody attribute)
11366 @anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit PackageBody _position}@anchor{3e8}
11367 @deffn {Attribute} _position:  @ref{200,,Position}  =  None
11368 @end deffn
11370 @geindex _package (pyGHDL.dom.DesignUnit.PackageBody attribute)
11371 @anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit PackageBody _package}@anchor{3e9}
11372 @deffn {Attribute} _package:  pyVHDLModel.SyntaxModel.Package@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Package}
11373 @end deffn
11375 @geindex _declaredItems (pyGHDL.dom.DesignUnit.PackageBody attribute)
11376 @anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit PackageBody _declaredItems}@anchor{3ea}
11377 @deffn {Attribute} _declaredItems:  List
11378 @end deffn
11380 @geindex _parent (pyGHDL.dom.DesignUnit.PackageBody attribute)
11381 @anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit PackageBody _parent}@anchor{3eb}
11382 @deffn {Attribute} _parent:  ModelEntity
11384 Reference to a parent entity in the model.
11385 @end deffn
11387 @geindex _identifier (pyGHDL.dom.DesignUnit.PackageBody attribute)
11388 @anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit PackageBody _identifier}@anchor{3ec}
11389 @deffn {Attribute} _identifier:  str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
11391 The identifier of a model entity.
11392 @end deffn
11394 @geindex _contextItems (pyGHDL.dom.DesignUnit.PackageBody attribute)
11395 @anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit PackageBody _contextItems}@anchor{3ed}
11396 @deffn {Attribute} _contextItems:  Nullable[List['ContextUnion']]
11397 @end deffn
11399 @geindex _libraryReferences (pyGHDL.dom.DesignUnit.PackageBody attribute)
11400 @anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit PackageBody _libraryReferences}@anchor{3ee}
11401 @deffn {Attribute} _libraryReferences:  Nullable[List['LibraryClause']]
11402 @end deffn
11404 @geindex _packageReferences (pyGHDL.dom.DesignUnit.PackageBody attribute)
11405 @anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit PackageBody _packageReferences}@anchor{3ef}
11406 @deffn {Attribute} _packageReferences:  Nullable[List['UseClause']]
11407 @end deffn
11409 @geindex _contextReferences (pyGHDL.dom.DesignUnit.PackageBody attribute)
11410 @anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit PackageBody _contextReferences}@anchor{3f0}
11411 @deffn {Attribute} _contextReferences:  Nullable[List['ContextReference']]
11412 @end deffn
11414 @geindex _iirNode (pyGHDL.dom.DesignUnit.PackageBody attribute)
11415 @anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit PackageBody _iirNode}@anchor{3f1}
11416 @deffn {Attribute} _iirNode:  Iir
11417 @end deffn
11418 @end deffn
11420 @geindex PackageInstantiation (class in pyGHDL.dom.DesignUnit)
11421 @anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit PackageInstantiation}@anchor{371}
11422 @deffn {Class} pyGHDL.dom.DesignUnit.PackageInstantiation (node, identifier, uninstantiatedPackageName)
11424 @subsubheading Inheritance
11426 @image{inheritance-c4ffa4678b32333e31b894da6a90e02a4e2d7726,,,[graphviz],png}
11428 @subsubheading Members
11431 @geindex parse() (pyGHDL.dom.DesignUnit.PackageInstantiation class method)
11432 @anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit PackageInstantiation parse}@anchor{3f2}
11433 @deffn {Method} classmethod  parse (packageNode)
11434 @end deffn
11436 @geindex GenericAssociations (pyGHDL.dom.DesignUnit.PackageInstantiation property)
11437 @anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit PackageInstantiation GenericAssociations}@anchor{3f3}
11438 @deffn {Property} GenericAssociations:  List[pyVHDLModel.SyntaxModel.GenericAssociationItem@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.GenericAssociationItem}]
11440 @*Return type: 
11441 List@footnote{https://docs.python.org/3.6/library/typing.html#typing.List}[GenericAssociationItem@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.GenericAssociationItem}]
11443 @end deffn
11445 @geindex Identifier (pyGHDL.dom.DesignUnit.PackageInstantiation property)
11446 @anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit PackageInstantiation Identifier}@anchor{3f4}
11447 @deffn {Property} Identifier:  str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
11449 Returns a model entity’s identifier (name).
11451 @*Return type: 
11452 str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
11454 @end deffn
11456 @geindex Library (pyGHDL.dom.DesignUnit.PackageInstantiation property)
11457 @anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit PackageInstantiation Library}@anchor{3f5}
11458 @deffn {Property} Library:  @ref{391,,Library}
11460 @*Return type: 
11461 @ref{391,,Library}
11463 @end deffn
11465 @geindex PackageReference (pyGHDL.dom.DesignUnit.PackageInstantiation property)
11466 @anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit PackageInstantiation PackageReference}@anchor{3f6}
11467 @deffn {Property} PackageReference:  Union[@ref{369,,Package},  PackageSymbol]
11469 @*Return type: 
11470 Union@footnote{https://docs.python.org/3.6/library/typing.html#typing.Union}[Package@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Package}, PackageSymbol]
11472 @end deffn
11474 @geindex Parent (pyGHDL.dom.DesignUnit.PackageInstantiation property)
11475 @anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit PackageInstantiation Parent}@anchor{3f7}
11476 @deffn {Property} Parent:  pyVHDLModel.ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
11478 Returns a reference to the parent entity.
11480 @*Return type: 
11481 ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
11483 @end deffn
11485 @geindex Position (pyGHDL.dom.DesignUnit.PackageInstantiation property)
11486 @anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit PackageInstantiation Position}@anchor{3f8}
11487 @deffn {Property} Position:  @ref{200,,pyGHDL.dom.Position}
11489 @*Return type: 
11490 @ref{200,,Position}
11492 @end deffn
11494 @geindex _position (pyGHDL.dom.DesignUnit.PackageInstantiation attribute)
11495 @anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit PackageInstantiation _position}@anchor{3f9}
11496 @deffn {Attribute} _position:  @ref{200,,pyGHDL.dom.Position}  =  None
11497 @end deffn
11499 @geindex _packageReference (pyGHDL.dom.DesignUnit.PackageInstantiation attribute)
11500 @anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit PackageInstantiation _packageReference}@anchor{3fa}
11501 @deffn {Attribute} _packageReference:  pyVHDLModel.SyntaxModel.Package@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Package}
11502 @end deffn
11504 @geindex _genericAssociations (pyGHDL.dom.DesignUnit.PackageInstantiation attribute)
11505 @anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit PackageInstantiation _genericAssociations}@anchor{3fb}
11506 @deffn {Attribute} _genericAssociations:  List[pyVHDLModel.SyntaxModel.GenericAssociationItem@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.GenericAssociationItem}]
11507 @end deffn
11509 @geindex _parent (pyGHDL.dom.DesignUnit.PackageInstantiation attribute)
11510 @anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit PackageInstantiation _parent}@anchor{3fc}
11511 @deffn {Attribute} _parent:  ModelEntity
11513 Reference to a parent entity in the model.
11514 @end deffn
11516 @geindex _identifier (pyGHDL.dom.DesignUnit.PackageInstantiation attribute)
11517 @anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit PackageInstantiation _identifier}@anchor{3fd}
11518 @deffn {Attribute} _identifier:  str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
11520 The identifier of a model entity.
11521 @end deffn
11523 @geindex _iirNode (pyGHDL.dom.DesignUnit.PackageInstantiation attribute)
11524 @anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit PackageInstantiation _iirNode}@anchor{3fe}
11525 @deffn {Attribute} _iirNode:  pyGHDL.libghdl._types.Iir
11526 @end deffn
11527 @end deffn
11529 @geindex Context (class in pyGHDL.dom.DesignUnit)
11530 @anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit Context}@anchor{36b}
11531 @deffn {Class} pyGHDL.dom.DesignUnit.Context (node, identifier, libraryReferences=None, packageReferences=None)
11533 @subsubheading Inheritance
11535 @image{inheritance-99e8e67e567af4d34d9fc0760c5b924a6a1918ea,,,[graphviz],png}
11537 @subsubheading Members
11540 @geindex parse() (pyGHDL.dom.DesignUnit.Context class method)
11541 @anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit Context parse}@anchor{3ff}
11542 @deffn {Method} classmethod  parse (contextNode)
11543 @end deffn
11545 @geindex Identifier (pyGHDL.dom.DesignUnit.Context property)
11546 @anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit Context Identifier}@anchor{400}
11547 @deffn {Property} Identifier:  str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
11549 Returns a model entity’s identifier (name).
11551 @*Return type: 
11552 str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
11554 @end deffn
11556 @geindex Library (pyGHDL.dom.DesignUnit.Context property)
11557 @anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit Context Library}@anchor{401}
11558 @deffn {Property} Library:  @ref{391,,Library}
11560 @*Return type: 
11561 @ref{391,,Library}
11563 @end deffn
11565 @geindex LibraryReferences (pyGHDL.dom.DesignUnit.Context property)
11566 @anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit Context LibraryReferences}@anchor{402}
11567 @deffn {Property} LibraryReferences:  List[pyVHDLModel.SyntaxModel.LibraryClause@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.LibraryClause}]
11569 @*Return type: 
11570 List@footnote{https://docs.python.org/3.6/library/typing.html#typing.List}[LibraryClause@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.LibraryClause}]
11572 @end deffn
11574 @geindex PackageReferences (pyGHDL.dom.DesignUnit.Context property)
11575 @anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit Context PackageReferences}@anchor{403}
11576 @deffn {Property} PackageReferences:  List[pyVHDLModel.SyntaxModel.UseClause@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.UseClause}]
11578 @*Return type: 
11579 List@footnote{https://docs.python.org/3.6/library/typing.html#typing.List}[UseClause@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.UseClause}]
11581 @end deffn
11583 @geindex Parent (pyGHDL.dom.DesignUnit.Context property)
11584 @anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit Context Parent}@anchor{404}
11585 @deffn {Property} Parent:  pyVHDLModel.ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
11587 Returns a reference to the parent entity.
11589 @*Return type: 
11590 ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
11592 @end deffn
11594 @geindex Position (pyGHDL.dom.DesignUnit.Context property)
11595 @anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit Context Position}@anchor{405}
11596 @deffn {Property} Position:  @ref{200,,pyGHDL.dom.Position}
11598 @*Return type: 
11599 @ref{200,,Position}
11601 @end deffn
11603 @geindex _position (pyGHDL.dom.DesignUnit.Context attribute)
11604 @anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit Context _position}@anchor{406}
11605 @deffn {Attribute} _position:  @ref{200,,pyGHDL.dom.Position}  =  None
11606 @end deffn
11608 @geindex _libraryReferences (pyGHDL.dom.DesignUnit.Context attribute)
11609 @anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit Context _libraryReferences}@anchor{407}
11610 @deffn {Attribute} _libraryReferences:  List[pyVHDLModel.SyntaxModel.LibraryClause@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.LibraryClause}]
11611 @end deffn
11613 @geindex _packageReferences (pyGHDL.dom.DesignUnit.Context attribute)
11614 @anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit Context _packageReferences}@anchor{408}
11615 @deffn {Attribute} _packageReferences:  List[pyVHDLModel.SyntaxModel.UseClause@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.UseClause}]
11616 @end deffn
11618 @geindex _parent (pyGHDL.dom.DesignUnit.Context attribute)
11619 @anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit Context _parent}@anchor{409}
11620 @deffn {Attribute} _parent:  ModelEntity
11622 Reference to a parent entity in the model.
11623 @end deffn
11625 @geindex _identifier (pyGHDL.dom.DesignUnit.Context attribute)
11626 @anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit Context _identifier}@anchor{40a}
11627 @deffn {Attribute} _identifier:  str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
11629 The identifier of a model entity.
11630 @end deffn
11632 @geindex _iirNode (pyGHDL.dom.DesignUnit.Context attribute)
11633 @anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit Context _iirNode}@anchor{40b}
11634 @deffn {Attribute} _iirNode:  pyGHDL.libghdl._types.Iir
11635 @end deffn
11636 @end deffn
11638 @geindex Configuration (class in pyGHDL.dom.DesignUnit)
11639 @anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit Configuration}@anchor{36c}
11640 @deffn {Class} pyGHDL.dom.DesignUnit.Configuration (node, identifier, contextItems=None)
11642 @subsubheading Inheritance
11644 @image{inheritance-03d3eeb04690489d8913bc07e91130b81987c758,,,[graphviz],png}
11646 @subsubheading Members
11649 @geindex parse() (pyGHDL.dom.DesignUnit.Configuration class method)
11650 @anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit Configuration parse}@anchor{40c}
11651 @deffn {Method} classmethod  parse (configurationNode, contextItems)
11652 @end deffn
11654 @geindex ContextItems (pyGHDL.dom.DesignUnit.Configuration property)
11655 @anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit Configuration ContextItems}@anchor{40d}
11656 @deffn {Property} ContextItems:  List[LibraryClauseUseClauseContextReference]
11658 @*Return type: 
11659 List@footnote{https://docs.python.org/3.6/library/typing.html#typing.List}[LibraryClauseUseClauseContextReference]
11661 @end deffn
11663 @geindex ContextReferences (pyGHDL.dom.DesignUnit.Configuration property)
11664 @anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit Configuration ContextReferences}@anchor{40e}
11665 @deffn {Property} ContextReferences:  List[@ref{36f,,ContextReference}]
11667 @*Return type: 
11668 List@footnote{https://docs.python.org/3.6/library/typing.html#typing.List}[ContextReference]
11670 @end deffn
11672 @geindex Identifier (pyGHDL.dom.DesignUnit.Configuration property)
11673 @anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit Configuration Identifier}@anchor{40f}
11674 @deffn {Property} Identifier:  str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
11676 Returns a model entity’s identifier (name).
11678 @*Return type: 
11679 str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
11681 @end deffn
11683 @geindex Library (pyGHDL.dom.DesignUnit.Configuration property)
11684 @anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit Configuration Library}@anchor{410}
11685 @deffn {Property} Library:  @ref{391,,Library}
11687 @*Return type: 
11688 @ref{391,,Library}
11690 @end deffn
11692 @geindex LibraryReferences (pyGHDL.dom.DesignUnit.Configuration property)
11693 @anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit Configuration LibraryReferences}@anchor{411}
11694 @deffn {Property} LibraryReferences:  List[@ref{36d,,LibraryClause}]
11696 @*Return type: 
11697 List@footnote{https://docs.python.org/3.6/library/typing.html#typing.List}[LibraryClause]
11699 @end deffn
11701 @geindex PackageReferences (pyGHDL.dom.DesignUnit.Configuration property)
11702 @anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit Configuration PackageReferences}@anchor{412}
11703 @deffn {Property} PackageReferences:  List[@ref{36e,,UseClause}]
11705 @*Return type: 
11706 List@footnote{https://docs.python.org/3.6/library/typing.html#typing.List}[UseClause]
11708 @end deffn
11710 @geindex Parent (pyGHDL.dom.DesignUnit.Configuration property)
11711 @anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit Configuration Parent}@anchor{413}
11712 @deffn {Property} Parent:  pyVHDLModel.ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
11714 Returns a reference to the parent entity.
11716 @*Return type: 
11717 ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
11719 @end deffn
11721 @geindex Position (pyGHDL.dom.DesignUnit.Configuration property)
11722 @anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit Configuration Position}@anchor{414}
11723 @deffn {Property} Position:  @ref{200,,pyGHDL.dom.Position}
11725 @*Return type: 
11726 @ref{200,,Position}
11728 @end deffn
11730 @geindex _position (pyGHDL.dom.DesignUnit.Configuration attribute)
11731 @anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit Configuration _position}@anchor{415}
11732 @deffn {Attribute} _position:  @ref{200,,Position}  =  None
11733 @end deffn
11735 @geindex _parent (pyGHDL.dom.DesignUnit.Configuration attribute)
11736 @anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit Configuration _parent}@anchor{416}
11737 @deffn {Attribute} _parent:  ModelEntity
11739 Reference to a parent entity in the model.
11740 @end deffn
11742 @geindex _identifier (pyGHDL.dom.DesignUnit.Configuration attribute)
11743 @anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit Configuration _identifier}@anchor{417}
11744 @deffn {Attribute} _identifier:  str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
11746 The identifier of a model entity.
11747 @end deffn
11749 @geindex _contextItems (pyGHDL.dom.DesignUnit.Configuration attribute)
11750 @anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit Configuration _contextItems}@anchor{418}
11751 @deffn {Attribute} _contextItems:  Nullable[List['ContextUnion']]
11752 @end deffn
11754 @geindex _libraryReferences (pyGHDL.dom.DesignUnit.Configuration attribute)
11755 @anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit Configuration _libraryReferences}@anchor{419}
11756 @deffn {Attribute} _libraryReferences:  Nullable[List['LibraryClause']]
11757 @end deffn
11759 @geindex _packageReferences (pyGHDL.dom.DesignUnit.Configuration attribute)
11760 @anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit Configuration _packageReferences}@anchor{41a}
11761 @deffn {Attribute} _packageReferences:  Nullable[List['UseClause']]
11762 @end deffn
11764 @geindex _contextReferences (pyGHDL.dom.DesignUnit.Configuration attribute)
11765 @anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit Configuration _contextReferences}@anchor{41b}
11766 @deffn {Attribute} _contextReferences:  Nullable[List['ContextReference']]
11767 @end deffn
11769 @geindex _iirNode (pyGHDL.dom.DesignUnit.Configuration attribute)
11770 @anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit Configuration _iirNode}@anchor{41c}
11771 @deffn {Attribute} _iirNode:  Iir
11772 @end deffn
11773 @end deffn
11775 @c # Load pre-defined aliases and graphical characters like © from docutils
11776 @c # <file> is used to denote the special path
11777 @c # <Python>\Lib\site-packages\docutils\parsers\rst\include
11779 @c This data file has been placed in the public domain.
11781 @c Derived from the Unicode character mappings available from
11782 @c <http://www.w3.org/2003/entities/xml/>.
11783 @c Processed by unicode2rstsubs.py, part of Docutils:
11784 @c <http://docutils.sourceforge.net>.
11786 @c This data file has been placed in the public domain.
11788 @c Derived from the Unicode character mappings available from
11789 @c <http://www.w3.org/2003/entities/xml/>.
11790 @c Processed by unicode2rstsubs.py, part of Docutils:
11791 @c <http://docutils.sourceforge.net>.
11793 @c # define a hard line break for HTML
11795 @node pyGHDL dom Expression,pyGHDL dom InterfaceItem,pyGHDL dom DesignUnit,pyGHDL dom
11796 @anchor{pyGHDL/pyGHDL dom Expression doc}@anchor{41d}@anchor{pyGHDL/pyGHDL dom Expression module-pyGHDL dom Expression}@anchor{b}@anchor{pyGHDL/pyGHDL dom Expression pyghdl-dom-expression}@anchor{41e}
11797 @subsection pyGHDL.dom.Expression
11800 @geindex module; pyGHDL.dom.Expression
11802 @c #-----------------------------------
11804 @strong{Classes}
11807 @itemize -
11809 @item 
11810 @ref{41f,,InverseExpression}:
11811 A @code{UnaryExpression} is a base-class for all unary expressions.
11813 @item 
11814 @ref{420,,IdentityExpression}:
11815 A @code{UnaryExpression} is a base-class for all unary expressions.
11817 @item 
11818 @ref{421,,NegationExpression}:
11819 A @code{UnaryExpression} is a base-class for all unary expressions.
11821 @item 
11822 @ref{422,,AbsoluteExpression}:
11823 A @code{UnaryExpression} is a base-class for all unary expressions.
11825 @item 
11826 @ref{423,,ParenthesisExpression}:
11827 A @code{UnaryExpression} is a base-class for all unary expressions.
11829 @item 
11830 @ref{205,,TypeConversion}:
11831 A @code{UnaryExpression} is a base-class for all unary expressions.
11833 @item 
11834 @ref{204,,FunctionCall}:
11835 A @code{BaseExpression} is a base-class for all expressions.
11837 @item 
11838 @ref{424,,AscendingRangeExpression}:
11839 A @code{BinaryExpression} is a base-class for all binary expressions.
11841 @item 
11842 @ref{425,,DescendingRangeExpression}:
11843 A @code{BinaryExpression} is a base-class for all binary expressions.
11845 @item 
11846 @ref{426,,AdditionExpression}:
11847 A @code{AddingExpression} is a base-class for all adding expressions.
11849 @item 
11850 @ref{427,,SubtractionExpression}:
11851 A @code{AddingExpression} is a base-class for all adding expressions.
11853 @item 
11854 @ref{428,,ConcatenationExpression}:
11855 A @code{AddingExpression} is a base-class for all adding expressions.
11857 @item 
11858 @ref{429,,MultiplyExpression}:
11859 A @code{MultiplyingExpression} is a base-class for all multiplying expressions.
11861 @item 
11862 @ref{42a,,DivisionExpression}:
11863 A @code{MultiplyingExpression} is a base-class for all multiplying expressions.
11865 @item 
11866 @ref{42b,,RemainderExpression}:
11867 A @code{MultiplyingExpression} is a base-class for all multiplying expressions.
11869 @item 
11870 @ref{42c,,ModuloExpression}:
11871 A @code{MultiplyingExpression} is a base-class for all multiplying expressions.
11873 @item 
11874 @ref{42d,,ExponentiationExpression}:
11875 A @code{MultiplyingExpression} is a base-class for all multiplying expressions.
11877 @item 
11878 @ref{42e,,AndExpression}:
11879 A @code{LogicalExpression} is a base-class for all logical expressions.
11881 @item 
11882 @ref{42f,,NandExpression}:
11883 A @code{LogicalExpression} is a base-class for all logical expressions.
11885 @item 
11886 @ref{430,,OrExpression}:
11887 A @code{LogicalExpression} is a base-class for all logical expressions.
11889 @item 
11890 @ref{431,,NorExpression}:
11891 A @code{LogicalExpression} is a base-class for all logical expressions.
11893 @item 
11894 @ref{432,,XorExpression}:
11895 A @code{LogicalExpression} is a base-class for all logical expressions.
11897 @item 
11898 @ref{433,,XnorExpression}:
11899 A @code{LogicalExpression} is a base-class for all logical expressions.
11901 @item 
11902 @ref{434,,EqualExpression}:
11903 A @code{RelationalExpression} is a base-class for all shifting expressions.
11905 @item 
11906 @ref{435,,UnequalExpression}:
11907 A @code{RelationalExpression} is a base-class for all shifting expressions.
11909 @item 
11910 @ref{436,,LessThanExpression}:
11911 A @code{RelationalExpression} is a base-class for all shifting expressions.
11913 @item 
11914 @ref{437,,LessEqualExpression}:
11915 A @code{RelationalExpression} is a base-class for all shifting expressions.
11917 @item 
11918 @ref{438,,GreaterThanExpression}:
11919 A @code{RelationalExpression} is a base-class for all shifting expressions.
11921 @item 
11922 @ref{439,,GreaterEqualExpression}:
11923 A @code{RelationalExpression} is a base-class for all shifting expressions.
11925 @item 
11926 @ref{43a,,MatchingEqualExpression}:
11927 A @code{RelationalExpression} is a base-class for all shifting expressions.
11929 @item 
11930 @ref{43b,,MatchingUnequalExpression}:
11931 A @code{RelationalExpression} is a base-class for all shifting expressions.
11933 @item 
11934 @ref{43c,,MatchingLessThanExpression}:
11935 A @code{RelationalExpression} is a base-class for all shifting expressions.
11937 @item 
11938 @ref{43d,,MatchingLessEqualExpression}:
11939 A @code{RelationalExpression} is a base-class for all shifting expressions.
11941 @item 
11942 @ref{43e,,MatchingGreaterThanExpression}:
11943 A @code{RelationalExpression} is a base-class for all shifting expressions.
11945 @item 
11946 @ref{43f,,MatchingGreaterEqualExpression}:
11947 A @code{RelationalExpression} is a base-class for all shifting expressions.
11949 @item 
11950 @ref{440,,ShiftRightLogicExpression}:
11951 A @code{ShiftExpression} is a base-class for all shifting expressions.
11953 @item 
11954 @ref{441,,ShiftLeftLogicExpression}:
11955 A @code{ShiftExpression} is a base-class for all shifting expressions.
11957 @item 
11958 @ref{442,,ShiftRightArithmeticExpression}:
11959 A @code{ShiftExpression} is a base-class for all shifting expressions.
11961 @item 
11962 @ref{443,,ShiftLeftArithmeticExpression}:
11963 A @code{ShiftExpression} is a base-class for all shifting expressions.
11965 @item 
11966 @ref{444,,RotateRightExpression}:
11967 A @code{ShiftExpression} is a base-class for all shifting expressions.
11969 @item 
11970 @ref{445,,RotateLeftExpression}:
11971 A @code{ShiftExpression} is a base-class for all shifting expressions.
11973 @item 
11974 @ref{203,,QualifiedExpression}:
11975 A @code{BaseExpression} is a base-class for all expressions.
11977 @item 
11978 @ref{446,,SubtypeAllocation}:
11979 A @code{BaseExpression} is a base-class for all expressions.
11981 @item 
11982 @ref{447,,QualifiedExpressionAllocation}:
11983 A @code{BaseExpression} is a base-class for all expressions.
11985 @item 
11986 @ref{448,,Aggregate}:
11987 A @code{BaseExpression} is a base-class for all expressions.
11988 @end itemize
11990 @c #-----------------------------------
11992 @geindex InverseExpression (class in pyGHDL.dom.Expression)
11993 @anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression InverseExpression}@anchor{41f}
11994 @deffn {Class} pyGHDL.dom.Expression.InverseExpression (node, operand)
11996 @subsubheading Inheritance
11998 @image{inheritance-56f436712a11e89e3412c987fbad5293d54d2c84,,,[graphviz],png}
12000 @subsubheading Members
12003 @geindex Operand (pyGHDL.dom.Expression.InverseExpression property)
12004 @anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression InverseExpression Operand}@anchor{449}
12005 @deffn {Property} Operand
12006 @end deffn
12008 @geindex Parent (pyGHDL.dom.Expression.InverseExpression property)
12009 @anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression InverseExpression Parent}@anchor{44a}
12010 @deffn {Property} Parent:  pyVHDLModel.ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
12012 Returns a reference to the parent entity.
12014 @*Return type: 
12015 ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
12017 @end deffn
12019 @geindex Position (pyGHDL.dom.Expression.InverseExpression property)
12020 @anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression InverseExpression Position}@anchor{44b}
12021 @deffn {Property} Position:  @ref{200,,pyGHDL.dom.Position}
12023 @*Return type: 
12024 @ref{200,,Position}
12026 @end deffn
12028 @geindex _FORMAT (pyGHDL.dom.Expression.InverseExpression attribute)
12029 @anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression InverseExpression _FORMAT}@anchor{44c}
12030 @deffn {Attribute} _FORMAT:  Tuple[str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str},  str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}]  =  ('not ', '@w{'})
12031 @end deffn
12033 @geindex _position (pyGHDL.dom.Expression.InverseExpression attribute)
12034 @anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression InverseExpression _position}@anchor{44d}
12035 @deffn {Attribute} _position:  @ref{200,,Position}  =  None
12036 @end deffn
12038 @geindex parse() (pyGHDL.dom.Expression.InverseExpression class method)
12039 @anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression InverseExpression parse}@anchor{44e}
12040 @deffn {Method} classmethod  parse (node)
12042 @*Return type: 
12043 UnaryExpression@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.UnaryExpression}
12045 @end deffn
12047 @geindex _operand (pyGHDL.dom.Expression.InverseExpression attribute)
12048 @anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression InverseExpression _operand}@anchor{44f}
12049 @deffn {Attribute} _operand:  Union[BaseExpression,  @ref{203,,QualifiedExpression},  @ref{204,,FunctionCall},  @ref{205,,TypeConversion},  @ref{206,,Constant},  ConstantSymbol,  @ref{207,,Variable},  VariableSymbol,  @ref{208,,Signal},  SignalSymbol,  Literal]
12050 @end deffn
12052 @geindex _parent (pyGHDL.dom.Expression.InverseExpression attribute)
12053 @anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression InverseExpression _parent}@anchor{450}
12054 @deffn {Attribute} _parent:  ModelEntity
12056 Reference to a parent entity in the model.
12057 @end deffn
12059 @geindex _iirNode (pyGHDL.dom.Expression.InverseExpression attribute)
12060 @anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression InverseExpression _iirNode}@anchor{451}
12061 @deffn {Attribute} _iirNode:  Iir
12062 @end deffn
12063 @end deffn
12065 @geindex IdentityExpression (class in pyGHDL.dom.Expression)
12066 @anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression IdentityExpression}@anchor{420}
12067 @deffn {Class} pyGHDL.dom.Expression.IdentityExpression (node, operand)
12069 @subsubheading Inheritance
12071 @image{inheritance-ee8baa4148627c08618260d55b784d831191a9e7,,,[graphviz],png}
12073 @subsubheading Members
12076 @geindex Operand (pyGHDL.dom.Expression.IdentityExpression property)
12077 @anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression IdentityExpression Operand}@anchor{452}
12078 @deffn {Property} Operand
12079 @end deffn
12081 @geindex Parent (pyGHDL.dom.Expression.IdentityExpression property)
12082 @anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression IdentityExpression Parent}@anchor{453}
12083 @deffn {Property} Parent:  pyVHDLModel.ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
12085 Returns a reference to the parent entity.
12087 @*Return type: 
12088 ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
12090 @end deffn
12092 @geindex Position (pyGHDL.dom.Expression.IdentityExpression property)
12093 @anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression IdentityExpression Position}@anchor{454}
12094 @deffn {Property} Position:  @ref{200,,pyGHDL.dom.Position}
12096 @*Return type: 
12097 @ref{200,,Position}
12099 @end deffn
12101 @geindex _FORMAT (pyGHDL.dom.Expression.IdentityExpression attribute)
12102 @anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression IdentityExpression _FORMAT}@anchor{455}
12103 @deffn {Attribute} _FORMAT:  Tuple[str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str},  str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}]  =  ('+', '@w{'})
12104 @end deffn
12106 @geindex _position (pyGHDL.dom.Expression.IdentityExpression attribute)
12107 @anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression IdentityExpression _position}@anchor{456}
12108 @deffn {Attribute} _position:  @ref{200,,Position}  =  None
12109 @end deffn
12111 @geindex parse() (pyGHDL.dom.Expression.IdentityExpression class method)
12112 @anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression IdentityExpression parse}@anchor{457}
12113 @deffn {Method} classmethod  parse (node)
12115 @*Return type: 
12116 UnaryExpression@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.UnaryExpression}
12118 @end deffn
12120 @geindex _operand (pyGHDL.dom.Expression.IdentityExpression attribute)
12121 @anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression IdentityExpression _operand}@anchor{458}
12122 @deffn {Attribute} _operand:  Union[BaseExpression,  @ref{203,,QualifiedExpression},  @ref{204,,FunctionCall},  @ref{205,,TypeConversion},  @ref{206,,Constant},  ConstantSymbol,  @ref{207,,Variable},  VariableSymbol,  @ref{208,,Signal},  SignalSymbol,  Literal]
12123 @end deffn
12125 @geindex _parent (pyGHDL.dom.Expression.IdentityExpression attribute)
12126 @anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression IdentityExpression _parent}@anchor{459}
12127 @deffn {Attribute} _parent:  ModelEntity
12129 Reference to a parent entity in the model.
12130 @end deffn
12132 @geindex _iirNode (pyGHDL.dom.Expression.IdentityExpression attribute)
12133 @anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression IdentityExpression _iirNode}@anchor{45a}
12134 @deffn {Attribute} _iirNode:  Iir
12135 @end deffn
12136 @end deffn
12138 @geindex NegationExpression (class in pyGHDL.dom.Expression)
12139 @anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression NegationExpression}@anchor{421}
12140 @deffn {Class} pyGHDL.dom.Expression.NegationExpression (node, operand)
12142 @subsubheading Inheritance
12144 @image{inheritance-44c5e969a17106ec0f133e44505846769f0a493e,,,[graphviz],png}
12146 @subsubheading Members
12149 @geindex Operand (pyGHDL.dom.Expression.NegationExpression property)
12150 @anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression NegationExpression Operand}@anchor{45b}
12151 @deffn {Property} Operand
12152 @end deffn
12154 @geindex Parent (pyGHDL.dom.Expression.NegationExpression property)
12155 @anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression NegationExpression Parent}@anchor{45c}
12156 @deffn {Property} Parent:  pyVHDLModel.ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
12158 Returns a reference to the parent entity.
12160 @*Return type: 
12161 ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
12163 @end deffn
12165 @geindex Position (pyGHDL.dom.Expression.NegationExpression property)
12166 @anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression NegationExpression Position}@anchor{45d}
12167 @deffn {Property} Position:  @ref{200,,pyGHDL.dom.Position}
12169 @*Return type: 
12170 @ref{200,,Position}
12172 @end deffn
12174 @geindex _FORMAT (pyGHDL.dom.Expression.NegationExpression attribute)
12175 @anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression NegationExpression _FORMAT}@anchor{45e}
12176 @deffn {Attribute} _FORMAT:  Tuple[str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str},  str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}]  =  ('@w{-}', '@w{'})
12177 @end deffn
12179 @geindex _position (pyGHDL.dom.Expression.NegationExpression attribute)
12180 @anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression NegationExpression _position}@anchor{45f}
12181 @deffn {Attribute} _position:  @ref{200,,Position}  =  None
12182 @end deffn
12184 @geindex parse() (pyGHDL.dom.Expression.NegationExpression class method)
12185 @anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression NegationExpression parse}@anchor{460}
12186 @deffn {Method} classmethod  parse (node)
12188 @*Return type: 
12189 UnaryExpression@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.UnaryExpression}
12191 @end deffn
12193 @geindex _operand (pyGHDL.dom.Expression.NegationExpression attribute)
12194 @anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression NegationExpression _operand}@anchor{461}
12195 @deffn {Attribute} _operand:  Union[BaseExpression,  @ref{203,,QualifiedExpression},  @ref{204,,FunctionCall},  @ref{205,,TypeConversion},  @ref{206,,Constant},  ConstantSymbol,  @ref{207,,Variable},  VariableSymbol,  @ref{208,,Signal},  SignalSymbol,  Literal]
12196 @end deffn
12198 @geindex _parent (pyGHDL.dom.Expression.NegationExpression attribute)
12199 @anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression NegationExpression _parent}@anchor{462}
12200 @deffn {Attribute} _parent:  ModelEntity
12202 Reference to a parent entity in the model.
12203 @end deffn
12205 @geindex _iirNode (pyGHDL.dom.Expression.NegationExpression attribute)
12206 @anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression NegationExpression _iirNode}@anchor{463}
12207 @deffn {Attribute} _iirNode:  Iir
12208 @end deffn
12209 @end deffn
12211 @geindex AbsoluteExpression (class in pyGHDL.dom.Expression)
12212 @anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression AbsoluteExpression}@anchor{422}
12213 @deffn {Class} pyGHDL.dom.Expression.AbsoluteExpression (node, operand)
12215 @subsubheading Inheritance
12217 @image{inheritance-575681bab10b680d6e8e784c322fa4b73b690586,,,[graphviz],png}
12219 @subsubheading Members
12222 @geindex Operand (pyGHDL.dom.Expression.AbsoluteExpression property)
12223 @anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression AbsoluteExpression Operand}@anchor{464}
12224 @deffn {Property} Operand
12225 @end deffn
12227 @geindex Parent (pyGHDL.dom.Expression.AbsoluteExpression property)
12228 @anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression AbsoluteExpression Parent}@anchor{465}
12229 @deffn {Property} Parent:  pyVHDLModel.ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
12231 Returns a reference to the parent entity.
12233 @*Return type: 
12234 ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
12236 @end deffn
12238 @geindex Position (pyGHDL.dom.Expression.AbsoluteExpression property)
12239 @anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression AbsoluteExpression Position}@anchor{466}
12240 @deffn {Property} Position:  @ref{200,,pyGHDL.dom.Position}
12242 @*Return type: 
12243 @ref{200,,Position}
12245 @end deffn
12247 @geindex _FORMAT (pyGHDL.dom.Expression.AbsoluteExpression attribute)
12248 @anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression AbsoluteExpression _FORMAT}@anchor{467}
12249 @deffn {Attribute} _FORMAT:  Tuple[str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str},  str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}]  =  ('abs ', '@w{'})
12250 @end deffn
12252 @geindex _position (pyGHDL.dom.Expression.AbsoluteExpression attribute)
12253 @anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression AbsoluteExpression _position}@anchor{468}
12254 @deffn {Attribute} _position:  @ref{200,,Position}  =  None
12255 @end deffn
12257 @geindex parse() (pyGHDL.dom.Expression.AbsoluteExpression class method)
12258 @anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression AbsoluteExpression parse}@anchor{469}
12259 @deffn {Method} classmethod  parse (node)
12261 @*Return type: 
12262 UnaryExpression@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.UnaryExpression}
12264 @end deffn
12266 @geindex _operand (pyGHDL.dom.Expression.AbsoluteExpression attribute)
12267 @anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression AbsoluteExpression _operand}@anchor{46a}
12268 @deffn {Attribute} _operand:  Union[BaseExpression,  @ref{203,,QualifiedExpression},  @ref{204,,FunctionCall},  @ref{205,,TypeConversion},  @ref{206,,Constant},  ConstantSymbol,  @ref{207,,Variable},  VariableSymbol,  @ref{208,,Signal},  SignalSymbol,  Literal]
12269 @end deffn
12271 @geindex _parent (pyGHDL.dom.Expression.AbsoluteExpression attribute)
12272 @anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression AbsoluteExpression _parent}@anchor{46b}
12273 @deffn {Attribute} _parent:  ModelEntity
12275 Reference to a parent entity in the model.
12276 @end deffn
12278 @geindex _iirNode (pyGHDL.dom.Expression.AbsoluteExpression attribute)
12279 @anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression AbsoluteExpression _iirNode}@anchor{46c}
12280 @deffn {Attribute} _iirNode:  Iir
12281 @end deffn
12282 @end deffn
12284 @geindex ParenthesisExpression (class in pyGHDL.dom.Expression)
12285 @anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression ParenthesisExpression}@anchor{423}
12286 @deffn {Class} pyGHDL.dom.Expression.ParenthesisExpression (node, operand)
12288 @subsubheading Inheritance
12290 @image{inheritance-2afdebb6e7889b14f6fb9c67052d25df7ea5d010,,,[graphviz],png}
12292 @subsubheading Members
12295 @geindex parse() (pyGHDL.dom.Expression.ParenthesisExpression class method)
12296 @anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression ParenthesisExpression parse}@anchor{46d}
12297 @deffn {Method} classmethod  parse (node)
12299 @*Return type: 
12300 @ref{423,,ParenthesisExpression}
12302 @end deffn
12304 @geindex Operand (pyGHDL.dom.Expression.ParenthesisExpression property)
12305 @anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression ParenthesisExpression Operand}@anchor{46e}
12306 @deffn {Property} Operand
12307 @end deffn
12309 @geindex Parent (pyGHDL.dom.Expression.ParenthesisExpression property)
12310 @anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression ParenthesisExpression Parent}@anchor{46f}
12311 @deffn {Property} Parent:  pyVHDLModel.ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
12313 Returns a reference to the parent entity.
12315 @*Return type: 
12316 ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
12318 @end deffn
12320 @geindex Position (pyGHDL.dom.Expression.ParenthesisExpression property)
12321 @anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression ParenthesisExpression Position}@anchor{470}
12322 @deffn {Property} Position:  @ref{200,,pyGHDL.dom.Position}
12324 @*Return type: 
12325 @ref{200,,Position}
12327 @end deffn
12329 @geindex _FORMAT (pyGHDL.dom.Expression.ParenthesisExpression attribute)
12330 @anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression ParenthesisExpression _FORMAT}@anchor{471}
12331 @deffn {Attribute} _FORMAT:  Tuple[str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str},  str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}]  =  ('(', ')')
12332 @end deffn
12334 @geindex _position (pyGHDL.dom.Expression.ParenthesisExpression attribute)
12335 @anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression ParenthesisExpression _position}@anchor{472}
12336 @deffn {Attribute} _position:  @ref{200,,Position}  =  None
12337 @end deffn
12339 @geindex _operand (pyGHDL.dom.Expression.ParenthesisExpression attribute)
12340 @anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression ParenthesisExpression _operand}@anchor{473}
12341 @deffn {Attribute} _operand:  Union[BaseExpression,  @ref{203,,QualifiedExpression},  @ref{204,,FunctionCall},  @ref{205,,TypeConversion},  @ref{206,,Constant},  ConstantSymbol,  @ref{207,,Variable},  VariableSymbol,  @ref{208,,Signal},  SignalSymbol,  Literal]
12342 @end deffn
12344 @geindex _parent (pyGHDL.dom.Expression.ParenthesisExpression attribute)
12345 @anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression ParenthesisExpression _parent}@anchor{474}
12346 @deffn {Attribute} _parent:  ModelEntity
12348 Reference to a parent entity in the model.
12349 @end deffn
12351 @geindex _iirNode (pyGHDL.dom.Expression.ParenthesisExpression attribute)
12352 @anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression ParenthesisExpression _iirNode}@anchor{475}
12353 @deffn {Attribute} _iirNode:  Iir
12354 @end deffn
12355 @end deffn
12357 @geindex TypeConversion (class in pyGHDL.dom.Expression)
12358 @anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression TypeConversion}@anchor{205}
12359 @deffn {Class} pyGHDL.dom.Expression.TypeConversion (node, operand)
12361 @subsubheading Inheritance
12363 @image{inheritance-e930a6ed162f6da3fb681a742cc49044d117af1a,,,[graphviz],png}
12365 @subsubheading Members
12368 @geindex Operand (pyGHDL.dom.Expression.TypeConversion property)
12369 @anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression TypeConversion Operand}@anchor{476}
12370 @deffn {Property} Operand
12371 @end deffn
12373 @geindex Parent (pyGHDL.dom.Expression.TypeConversion property)
12374 @anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression TypeConversion Parent}@anchor{477}
12375 @deffn {Property} Parent:  pyVHDLModel.ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
12377 Returns a reference to the parent entity.
12379 @*Return type: 
12380 ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
12382 @end deffn
12384 @geindex Position (pyGHDL.dom.Expression.TypeConversion property)
12385 @anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression TypeConversion Position}@anchor{478}
12386 @deffn {Property} Position:  @ref{200,,pyGHDL.dom.Position}
12388 @*Return type: 
12389 @ref{200,,Position}
12391 @end deffn
12393 @geindex _position (pyGHDL.dom.Expression.TypeConversion attribute)
12394 @anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression TypeConversion _position}@anchor{479}
12395 @deffn {Attribute} _position:  @ref{200,,Position}  =  None
12396 @end deffn
12398 @geindex _FORMAT (pyGHDL.dom.Expression.TypeConversion attribute)
12399 @anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression TypeConversion _FORMAT}@anchor{47a}
12400 @deffn {Attribute} _FORMAT:  Tuple[str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str},  str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}]
12401 @end deffn
12403 @geindex _operand (pyGHDL.dom.Expression.TypeConversion attribute)
12404 @anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression TypeConversion _operand}@anchor{47b}
12405 @deffn {Attribute} _operand:  Union[BaseExpression,  @ref{203,,QualifiedExpression},  @ref{204,,FunctionCall},  @ref{205,,TypeConversion},  @ref{206,,Constant},  ConstantSymbol,  @ref{207,,Variable},  VariableSymbol,  @ref{208,,Signal},  SignalSymbol,  Literal]
12406 @end deffn
12408 @geindex _parent (pyGHDL.dom.Expression.TypeConversion attribute)
12409 @anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression TypeConversion _parent}@anchor{47c}
12410 @deffn {Attribute} _parent:  ModelEntity
12412 Reference to a parent entity in the model.
12413 @end deffn
12415 @geindex _iirNode (pyGHDL.dom.Expression.TypeConversion attribute)
12416 @anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression TypeConversion _iirNode}@anchor{47d}
12417 @deffn {Attribute} _iirNode:  Iir
12418 @end deffn
12419 @end deffn
12421 @geindex FunctionCall (class in pyGHDL.dom.Expression)
12422 @anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression FunctionCall}@anchor{204}
12423 @deffn {Class} pyGHDL.dom.Expression.FunctionCall (node, operand)
12425 @subsubheading Inheritance
12427 @image{inheritance-743abdca100039d9c9327f0d3eac2c8c240715b8,,,[graphviz],png}
12429 @subsubheading Members
12432 @geindex Parent (pyGHDL.dom.Expression.FunctionCall property)
12433 @anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression FunctionCall Parent}@anchor{47e}
12434 @deffn {Property} Parent:  pyVHDLModel.ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
12436 Returns a reference to the parent entity.
12438 @*Return type: 
12439 ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
12441 @end deffn
12443 @geindex Position (pyGHDL.dom.Expression.FunctionCall property)
12444 @anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression FunctionCall Position}@anchor{47f}
12445 @deffn {Property} Position:  @ref{200,,pyGHDL.dom.Position}
12447 @*Return type: 
12448 @ref{200,,Position}
12450 @end deffn
12452 @geindex _position (pyGHDL.dom.Expression.FunctionCall attribute)
12453 @anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression FunctionCall _position}@anchor{480}
12454 @deffn {Attribute} _position:  @ref{200,,Position}  =  None
12455 @end deffn
12457 @geindex _parent (pyGHDL.dom.Expression.FunctionCall attribute)
12458 @anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression FunctionCall _parent}@anchor{481}
12459 @deffn {Attribute} _parent:  ModelEntity
12461 Reference to a parent entity in the model.
12462 @end deffn
12464 @geindex _iirNode (pyGHDL.dom.Expression.FunctionCall attribute)
12465 @anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression FunctionCall _iirNode}@anchor{482}
12466 @deffn {Attribute} _iirNode:  Iir
12467 @end deffn
12468 @end deffn
12470 @geindex AscendingRangeExpression (class in pyGHDL.dom.Expression)
12471 @anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression AscendingRangeExpression}@anchor{424}
12472 @deffn {Class} pyGHDL.dom.Expression.AscendingRangeExpression (node, left, right)
12474 @subsubheading Inheritance
12476 @image{inheritance-5635d299ccb0201df9de39904d5b239349892254,,,[graphviz],png}
12478 @subsubheading Members
12481 @geindex Direction (pyGHDL.dom.Expression.AscendingRangeExpression property)
12482 @anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression AscendingRangeExpression Direction}@anchor{483}
12483 @deffn {Property} Direction:  pyVHDLModel.Direction@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.Direction}
12485 @*Return type: 
12486 Direction@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.Direction}
12488 @end deffn
12490 @geindex LeftOperand (pyGHDL.dom.Expression.AscendingRangeExpression property)
12491 @anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression AscendingRangeExpression LeftOperand}@anchor{484}
12492 @deffn {Property} LeftOperand
12493 @end deffn
12495 @geindex Parent (pyGHDL.dom.Expression.AscendingRangeExpression property)
12496 @anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression AscendingRangeExpression Parent}@anchor{485}
12497 @deffn {Property} Parent:  pyVHDLModel.ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
12499 Returns a reference to the parent entity.
12501 @*Return type: 
12502 ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
12504 @end deffn
12506 @geindex Position (pyGHDL.dom.Expression.AscendingRangeExpression property)
12507 @anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression AscendingRangeExpression Position}@anchor{486}
12508 @deffn {Property} Position:  @ref{200,,pyGHDL.dom.Position}
12510 @*Return type: 
12511 @ref{200,,Position}
12513 @end deffn
12515 @geindex RightOperand (pyGHDL.dom.Expression.AscendingRangeExpression property)
12516 @anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression AscendingRangeExpression RightOperand}@anchor{487}
12517 @deffn {Property} RightOperand
12518 @end deffn
12520 @geindex _FORMAT (pyGHDL.dom.Expression.AscendingRangeExpression attribute)
12521 @anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression AscendingRangeExpression _FORMAT}@anchor{488}
12522 @deffn {Attribute} _FORMAT:  Tuple[str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str},  str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str},  str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}]  =  ('@w{'}, ' to ', '@w{'})
12523 @end deffn
12525 @geindex _direction (pyGHDL.dom.Expression.AscendingRangeExpression attribute)
12526 @anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression AscendingRangeExpression _direction}@anchor{489}
12527 @deffn {Attribute} _direction:  pyVHDLModel.Direction@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.Direction}  =  0
12528 @end deffn
12530 @geindex _position (pyGHDL.dom.Expression.AscendingRangeExpression attribute)
12531 @anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression AscendingRangeExpression _position}@anchor{48a}
12532 @deffn {Attribute} _position:  @ref{200,,Position}  =  None
12533 @end deffn
12535 @geindex _leftOperand (pyGHDL.dom.Expression.AscendingRangeExpression attribute)
12536 @anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression AscendingRangeExpression _leftOperand}@anchor{48b}
12537 @deffn {Attribute} _leftOperand:  ExpressionUnion
12538 @end deffn
12540 @geindex _rightOperand (pyGHDL.dom.Expression.AscendingRangeExpression attribute)
12541 @anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression AscendingRangeExpression _rightOperand}@anchor{48c}
12542 @deffn {Attribute} _rightOperand:  ExpressionUnion
12543 @end deffn
12545 @geindex _parent (pyGHDL.dom.Expression.AscendingRangeExpression attribute)
12546 @anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression AscendingRangeExpression _parent}@anchor{48d}
12547 @deffn {Attribute} _parent:  ModelEntity
12549 Reference to a parent entity in the model.
12550 @end deffn
12552 @geindex _iirNode (pyGHDL.dom.Expression.AscendingRangeExpression attribute)
12553 @anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression AscendingRangeExpression _iirNode}@anchor{48e}
12554 @deffn {Attribute} _iirNode:  Iir
12555 @end deffn
12556 @end deffn
12558 @geindex DescendingRangeExpression (class in pyGHDL.dom.Expression)
12559 @anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression DescendingRangeExpression}@anchor{425}
12560 @deffn {Class} pyGHDL.dom.Expression.DescendingRangeExpression (node, left, right)
12562 @subsubheading Inheritance
12564 @image{inheritance-a0e9c541005c32736fc5c5f00894af4f30799b68,,,[graphviz],png}
12566 @subsubheading Members
12569 @geindex Direction (pyGHDL.dom.Expression.DescendingRangeExpression property)
12570 @anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression DescendingRangeExpression Direction}@anchor{48f}
12571 @deffn {Property} Direction:  pyVHDLModel.Direction@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.Direction}
12573 @*Return type: 
12574 Direction@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.Direction}
12576 @end deffn
12578 @geindex LeftOperand (pyGHDL.dom.Expression.DescendingRangeExpression property)
12579 @anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression DescendingRangeExpression LeftOperand}@anchor{490}
12580 @deffn {Property} LeftOperand
12581 @end deffn
12583 @geindex Parent (pyGHDL.dom.Expression.DescendingRangeExpression property)
12584 @anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression DescendingRangeExpression Parent}@anchor{491}
12585 @deffn {Property} Parent:  pyVHDLModel.ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
12587 Returns a reference to the parent entity.
12589 @*Return type: 
12590 ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
12592 @end deffn
12594 @geindex Position (pyGHDL.dom.Expression.DescendingRangeExpression property)
12595 @anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression DescendingRangeExpression Position}@anchor{492}
12596 @deffn {Property} Position:  @ref{200,,pyGHDL.dom.Position}
12598 @*Return type: 
12599 @ref{200,,Position}
12601 @end deffn
12603 @geindex RightOperand (pyGHDL.dom.Expression.DescendingRangeExpression property)
12604 @anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression DescendingRangeExpression RightOperand}@anchor{493}
12605 @deffn {Property} RightOperand
12606 @end deffn
12608 @geindex _FORMAT (pyGHDL.dom.Expression.DescendingRangeExpression attribute)
12609 @anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression DescendingRangeExpression _FORMAT}@anchor{494}
12610 @deffn {Attribute} _FORMAT:  Tuple[str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str},  str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str},  str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}]  =  ('@w{'}, ' downto ', '@w{'})
12611 @end deffn
12613 @geindex _direction (pyGHDL.dom.Expression.DescendingRangeExpression attribute)
12614 @anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression DescendingRangeExpression _direction}@anchor{495}
12615 @deffn {Attribute} _direction:  pyVHDLModel.Direction@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.Direction}  =  1
12616 @end deffn
12618 @geindex _position (pyGHDL.dom.Expression.DescendingRangeExpression attribute)
12619 @anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression DescendingRangeExpression _position}@anchor{496}
12620 @deffn {Attribute} _position:  @ref{200,,Position}  =  None
12621 @end deffn
12623 @geindex _leftOperand (pyGHDL.dom.Expression.DescendingRangeExpression attribute)
12624 @anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression DescendingRangeExpression _leftOperand}@anchor{497}
12625 @deffn {Attribute} _leftOperand:  ExpressionUnion
12626 @end deffn
12628 @geindex _rightOperand (pyGHDL.dom.Expression.DescendingRangeExpression attribute)
12629 @anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression DescendingRangeExpression _rightOperand}@anchor{498}
12630 @deffn {Attribute} _rightOperand:  ExpressionUnion
12631 @end deffn
12633 @geindex _parent (pyGHDL.dom.Expression.DescendingRangeExpression attribute)
12634 @anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression DescendingRangeExpression _parent}@anchor{499}
12635 @deffn {Attribute} _parent:  ModelEntity
12637 Reference to a parent entity in the model.
12638 @end deffn
12640 @geindex _iirNode (pyGHDL.dom.Expression.DescendingRangeExpression attribute)
12641 @anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression DescendingRangeExpression _iirNode}@anchor{49a}
12642 @deffn {Attribute} _iirNode:  Iir
12643 @end deffn
12644 @end deffn
12646 @geindex AdditionExpression (class in pyGHDL.dom.Expression)
12647 @anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression AdditionExpression}@anchor{426}
12648 @deffn {Class} pyGHDL.dom.Expression.AdditionExpression (node, left, right)
12650 @subsubheading Inheritance
12652 @image{inheritance-97723bc99f87ff46e8258ad8bbe652ee5a80355b,,,[graphviz],png}
12654 @subsubheading Members
12657 @geindex LeftOperand (pyGHDL.dom.Expression.AdditionExpression property)
12658 @anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression AdditionExpression LeftOperand}@anchor{49b}
12659 @deffn {Property} LeftOperand
12660 @end deffn
12662 @geindex Parent (pyGHDL.dom.Expression.AdditionExpression property)
12663 @anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression AdditionExpression Parent}@anchor{49c}
12664 @deffn {Property} Parent:  pyVHDLModel.ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
12666 Returns a reference to the parent entity.
12668 @*Return type: 
12669 ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
12671 @end deffn
12673 @geindex Position (pyGHDL.dom.Expression.AdditionExpression property)
12674 @anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression AdditionExpression Position}@anchor{49d}
12675 @deffn {Property} Position:  @ref{200,,pyGHDL.dom.Position}
12677 @*Return type: 
12678 @ref{200,,Position}
12680 @end deffn
12682 @geindex RightOperand (pyGHDL.dom.Expression.AdditionExpression property)
12683 @anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression AdditionExpression RightOperand}@anchor{49e}
12684 @deffn {Property} RightOperand
12685 @end deffn
12687 @geindex _FORMAT (pyGHDL.dom.Expression.AdditionExpression attribute)
12688 @anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression AdditionExpression _FORMAT}@anchor{49f}
12689 @deffn {Attribute} _FORMAT:  Tuple[str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str},  str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str},  str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}]  =  ('@w{'}, ' + ', '@w{'})
12690 @end deffn
12692 @geindex _position (pyGHDL.dom.Expression.AdditionExpression attribute)
12693 @anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression AdditionExpression _position}@anchor{4a0}
12694 @deffn {Attribute} _position:  @ref{200,,Position}  =  None
12695 @end deffn
12697 @geindex parse() (pyGHDL.dom.Expression.AdditionExpression class method)
12698 @anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression AdditionExpression parse}@anchor{4a1}
12699 @deffn {Method} classmethod  parse (node)
12701 @*Return type: 
12702 BinaryExpression@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.BinaryExpression}
12704 @end deffn
12706 @geindex _leftOperand (pyGHDL.dom.Expression.AdditionExpression attribute)
12707 @anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression AdditionExpression _leftOperand}@anchor{4a2}
12708 @deffn {Attribute} _leftOperand:  Union[BaseExpression,  @ref{203,,QualifiedExpression},  @ref{204,,FunctionCall},  @ref{205,,TypeConversion},  @ref{206,,Constant},  ConstantSymbol,  @ref{207,,Variable},  VariableSymbol,  @ref{208,,Signal},  SignalSymbol,  Literal]
12709 @end deffn
12711 @geindex _rightOperand (pyGHDL.dom.Expression.AdditionExpression attribute)
12712 @anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression AdditionExpression _rightOperand}@anchor{4a3}
12713 @deffn {Attribute} _rightOperand:  Union[BaseExpression,  @ref{203,,QualifiedExpression},  @ref{204,,FunctionCall},  @ref{205,,TypeConversion},  @ref{206,,Constant},  ConstantSymbol,  @ref{207,,Variable},  VariableSymbol,  @ref{208,,Signal},  SignalSymbol,  Literal]
12714 @end deffn
12716 @geindex _parent (pyGHDL.dom.Expression.AdditionExpression attribute)
12717 @anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression AdditionExpression _parent}@anchor{4a4}
12718 @deffn {Attribute} _parent:  ModelEntity
12720 Reference to a parent entity in the model.
12721 @end deffn
12723 @geindex _iirNode (pyGHDL.dom.Expression.AdditionExpression attribute)
12724 @anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression AdditionExpression _iirNode}@anchor{4a5}
12725 @deffn {Attribute} _iirNode:  Iir
12726 @end deffn
12727 @end deffn
12729 @geindex SubtractionExpression (class in pyGHDL.dom.Expression)
12730 @anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression SubtractionExpression}@anchor{427}
12731 @deffn {Class} pyGHDL.dom.Expression.SubtractionExpression (node, left, right)
12733 @subsubheading Inheritance
12735 @image{inheritance-b2f1976e8960224f4789c51c539d741b31ee275e,,,[graphviz],png}
12737 @subsubheading Members
12740 @geindex LeftOperand (pyGHDL.dom.Expression.SubtractionExpression property)
12741 @anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression SubtractionExpression LeftOperand}@anchor{4a6}
12742 @deffn {Property} LeftOperand
12743 @end deffn
12745 @geindex Parent (pyGHDL.dom.Expression.SubtractionExpression property)
12746 @anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression SubtractionExpression Parent}@anchor{4a7}
12747 @deffn {Property} Parent:  pyVHDLModel.ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
12749 Returns a reference to the parent entity.
12751 @*Return type: 
12752 ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
12754 @end deffn
12756 @geindex Position (pyGHDL.dom.Expression.SubtractionExpression property)
12757 @anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression SubtractionExpression Position}@anchor{4a8}
12758 @deffn {Property} Position:  @ref{200,,pyGHDL.dom.Position}
12760 @*Return type: 
12761 @ref{200,,Position}
12763 @end deffn
12765 @geindex RightOperand (pyGHDL.dom.Expression.SubtractionExpression property)
12766 @anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression SubtractionExpression RightOperand}@anchor{4a9}
12767 @deffn {Property} RightOperand
12768 @end deffn
12770 @geindex _FORMAT (pyGHDL.dom.Expression.SubtractionExpression attribute)
12771 @anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression SubtractionExpression _FORMAT}@anchor{4aa}
12772 @deffn {Attribute} _FORMAT:  Tuple[str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str},  str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str},  str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}]  =  ('@w{'}, ' @w{-} ', '@w{'})
12773 @end deffn
12775 @geindex _position (pyGHDL.dom.Expression.SubtractionExpression attribute)
12776 @anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression SubtractionExpression _position}@anchor{4ab}
12777 @deffn {Attribute} _position:  @ref{200,,Position}  =  None
12778 @end deffn
12780 @geindex parse() (pyGHDL.dom.Expression.SubtractionExpression class method)
12781 @anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression SubtractionExpression parse}@anchor{4ac}
12782 @deffn {Method} classmethod  parse (node)
12784 @*Return type: 
12785 BinaryExpression@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.BinaryExpression}
12787 @end deffn
12789 @geindex _leftOperand (pyGHDL.dom.Expression.SubtractionExpression attribute)
12790 @anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression SubtractionExpression _leftOperand}@anchor{4ad}
12791 @deffn {Attribute} _leftOperand:  Union[BaseExpression,  @ref{203,,QualifiedExpression},  @ref{204,,FunctionCall},  @ref{205,,TypeConversion},  @ref{206,,Constant},  ConstantSymbol,  @ref{207,,Variable},  VariableSymbol,  @ref{208,,Signal},  SignalSymbol,  Literal]
12792 @end deffn
12794 @geindex _rightOperand (pyGHDL.dom.Expression.SubtractionExpression attribute)
12795 @anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression SubtractionExpression _rightOperand}@anchor{4ae}
12796 @deffn {Attribute} _rightOperand:  Union[BaseExpression,  @ref{203,,QualifiedExpression},  @ref{204,,FunctionCall},  @ref{205,,TypeConversion},  @ref{206,,Constant},  ConstantSymbol,  @ref{207,,Variable},  VariableSymbol,  @ref{208,,Signal},  SignalSymbol,  Literal]
12797 @end deffn
12799 @geindex _parent (pyGHDL.dom.Expression.SubtractionExpression attribute)
12800 @anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression SubtractionExpression _parent}@anchor{4af}
12801 @deffn {Attribute} _parent:  ModelEntity
12803 Reference to a parent entity in the model.
12804 @end deffn
12806 @geindex _iirNode (pyGHDL.dom.Expression.SubtractionExpression attribute)
12807 @anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression SubtractionExpression _iirNode}@anchor{4b0}
12808 @deffn {Attribute} _iirNode:  Iir
12809 @end deffn
12810 @end deffn
12812 @geindex ConcatenationExpression (class in pyGHDL.dom.Expression)
12813 @anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression ConcatenationExpression}@anchor{428}
12814 @deffn {Class} pyGHDL.dom.Expression.ConcatenationExpression (node, left, right)
12816 @subsubheading Inheritance
12818 @image{inheritance-fbc0e83bdfa1873da49604ca7c3e9225a38dd9a9,,,[graphviz],png}
12820 @subsubheading Members
12823 @geindex LeftOperand (pyGHDL.dom.Expression.ConcatenationExpression property)
12824 @anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression ConcatenationExpression LeftOperand}@anchor{4b1}
12825 @deffn {Property} LeftOperand
12826 @end deffn
12828 @geindex Parent (pyGHDL.dom.Expression.ConcatenationExpression property)
12829 @anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression ConcatenationExpression Parent}@anchor{4b2}
12830 @deffn {Property} Parent:  pyVHDLModel.ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
12832 Returns a reference to the parent entity.
12834 @*Return type: 
12835 ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
12837 @end deffn
12839 @geindex Position (pyGHDL.dom.Expression.ConcatenationExpression property)
12840 @anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression ConcatenationExpression Position}@anchor{4b3}
12841 @deffn {Property} Position:  @ref{200,,pyGHDL.dom.Position}
12843 @*Return type: 
12844 @ref{200,,Position}
12846 @end deffn
12848 @geindex RightOperand (pyGHDL.dom.Expression.ConcatenationExpression property)
12849 @anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression ConcatenationExpression RightOperand}@anchor{4b4}
12850 @deffn {Property} RightOperand
12851 @end deffn
12853 @geindex _FORMAT (pyGHDL.dom.Expression.ConcatenationExpression attribute)
12854 @anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression ConcatenationExpression _FORMAT}@anchor{4b5}
12855 @deffn {Attribute} _FORMAT:  Tuple[str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str},  str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str},  str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}]  =  ('@w{'}, ' & ', '@w{'})
12856 @end deffn
12858 @geindex _position (pyGHDL.dom.Expression.ConcatenationExpression attribute)
12859 @anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression ConcatenationExpression _position}@anchor{4b6}
12860 @deffn {Attribute} _position:  @ref{200,,Position}  =  None
12861 @end deffn
12863 @geindex parse() (pyGHDL.dom.Expression.ConcatenationExpression class method)
12864 @anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression ConcatenationExpression parse}@anchor{4b7}
12865 @deffn {Method} classmethod  parse (node)
12867 @*Return type: 
12868 BinaryExpression@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.BinaryExpression}
12870 @end deffn
12872 @geindex _leftOperand (pyGHDL.dom.Expression.ConcatenationExpression attribute)
12873 @anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression ConcatenationExpression _leftOperand}@anchor{4b8}
12874 @deffn {Attribute} _leftOperand:  Union[BaseExpression,  @ref{203,,QualifiedExpression},  @ref{204,,FunctionCall},  @ref{205,,TypeConversion},  @ref{206,,Constant},  ConstantSymbol,  @ref{207,,Variable},  VariableSymbol,  @ref{208,,Signal},  SignalSymbol,  Literal]
12875 @end deffn
12877 @geindex _rightOperand (pyGHDL.dom.Expression.ConcatenationExpression attribute)
12878 @anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression ConcatenationExpression _rightOperand}@anchor{4b9}
12879 @deffn {Attribute} _rightOperand:  Union[BaseExpression,  @ref{203,,QualifiedExpression},  @ref{204,,FunctionCall},  @ref{205,,TypeConversion},  @ref{206,,Constant},  ConstantSymbol,  @ref{207,,Variable},  VariableSymbol,  @ref{208,,Signal},  SignalSymbol,  Literal]
12880 @end deffn
12882 @geindex _parent (pyGHDL.dom.Expression.ConcatenationExpression attribute)
12883 @anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression ConcatenationExpression _parent}@anchor{4ba}
12884 @deffn {Attribute} _parent:  ModelEntity
12886 Reference to a parent entity in the model.
12887 @end deffn
12889 @geindex _iirNode (pyGHDL.dom.Expression.ConcatenationExpression attribute)
12890 @anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression ConcatenationExpression _iirNode}@anchor{4bb}
12891 @deffn {Attribute} _iirNode:  Iir
12892 @end deffn
12893 @end deffn
12895 @geindex MultiplyExpression (class in pyGHDL.dom.Expression)
12896 @anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression MultiplyExpression}@anchor{429}
12897 @deffn {Class} pyGHDL.dom.Expression.MultiplyExpression (node, left, right)
12899 @subsubheading Inheritance
12901 @image{inheritance-7ddc16423623f62e725a62366b1ed27932c1872a,,,[graphviz],png}
12903 @subsubheading Members
12906 @geindex LeftOperand (pyGHDL.dom.Expression.MultiplyExpression property)
12907 @anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression MultiplyExpression LeftOperand}@anchor{4bc}
12908 @deffn {Property} LeftOperand
12909 @end deffn
12911 @geindex Parent (pyGHDL.dom.Expression.MultiplyExpression property)
12912 @anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression MultiplyExpression Parent}@anchor{4bd}
12913 @deffn {Property} Parent:  pyVHDLModel.ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
12915 Returns a reference to the parent entity.
12917 @*Return type: 
12918 ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
12920 @end deffn
12922 @geindex Position (pyGHDL.dom.Expression.MultiplyExpression property)
12923 @anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression MultiplyExpression Position}@anchor{4be}
12924 @deffn {Property} Position:  @ref{200,,pyGHDL.dom.Position}
12926 @*Return type: 
12927 @ref{200,,Position}
12929 @end deffn
12931 @geindex RightOperand (pyGHDL.dom.Expression.MultiplyExpression property)
12932 @anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression MultiplyExpression RightOperand}@anchor{4bf}
12933 @deffn {Property} RightOperand
12934 @end deffn
12936 @geindex _FORMAT (pyGHDL.dom.Expression.MultiplyExpression attribute)
12937 @anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression MultiplyExpression _FORMAT}@anchor{4c0}
12938 @deffn {Attribute} _FORMAT:  Tuple[str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str},  str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str},  str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}]  =  ('@w{'}, ' * ', '@w{'})
12939 @end deffn
12941 @geindex _position (pyGHDL.dom.Expression.MultiplyExpression attribute)
12942 @anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression MultiplyExpression _position}@anchor{4c1}
12943 @deffn {Attribute} _position:  @ref{200,,Position}  =  None
12944 @end deffn
12946 @geindex parse() (pyGHDL.dom.Expression.MultiplyExpression class method)
12947 @anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression MultiplyExpression parse}@anchor{4c2}
12948 @deffn {Method} classmethod  parse (node)
12950 @*Return type: 
12951 BinaryExpression@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.BinaryExpression}
12953 @end deffn
12955 @geindex _leftOperand (pyGHDL.dom.Expression.MultiplyExpression attribute)
12956 @anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression MultiplyExpression _leftOperand}@anchor{4c3}
12957 @deffn {Attribute} _leftOperand:  Union[BaseExpression,  @ref{203,,QualifiedExpression},  @ref{204,,FunctionCall},  @ref{205,,TypeConversion},  @ref{206,,Constant},  ConstantSymbol,  @ref{207,,Variable},  VariableSymbol,  @ref{208,,Signal},  SignalSymbol,  Literal]
12958 @end deffn
12960 @geindex _rightOperand (pyGHDL.dom.Expression.MultiplyExpression attribute)
12961 @anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression MultiplyExpression _rightOperand}@anchor{4c4}
12962 @deffn {Attribute} _rightOperand:  Union[BaseExpression,  @ref{203,,QualifiedExpression},  @ref{204,,FunctionCall},  @ref{205,,TypeConversion},  @ref{206,,Constant},  ConstantSymbol,  @ref{207,,Variable},  VariableSymbol,  @ref{208,,Signal},  SignalSymbol,  Literal]
12963 @end deffn
12965 @geindex _parent (pyGHDL.dom.Expression.MultiplyExpression attribute)
12966 @anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression MultiplyExpression _parent}@anchor{4c5}
12967 @deffn {Attribute} _parent:  ModelEntity
12969 Reference to a parent entity in the model.
12970 @end deffn
12972 @geindex _iirNode (pyGHDL.dom.Expression.MultiplyExpression attribute)
12973 @anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression MultiplyExpression _iirNode}@anchor{4c6}
12974 @deffn {Attribute} _iirNode:  Iir
12975 @end deffn
12976 @end deffn
12978 @geindex DivisionExpression (class in pyGHDL.dom.Expression)
12979 @anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression DivisionExpression}@anchor{42a}
12980 @deffn {Class} pyGHDL.dom.Expression.DivisionExpression (node, left, right)
12982 @subsubheading Inheritance
12984 @image{inheritance-63ecb50b57dc177580cf5b4ed3b5e713ab8f15d2,,,[graphviz],png}
12986 @subsubheading Members
12989 @geindex LeftOperand (pyGHDL.dom.Expression.DivisionExpression property)
12990 @anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression DivisionExpression LeftOperand}@anchor{4c7}
12991 @deffn {Property} LeftOperand
12992 @end deffn
12994 @geindex Parent (pyGHDL.dom.Expression.DivisionExpression property)
12995 @anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression DivisionExpression Parent}@anchor{4c8}
12996 @deffn {Property} Parent:  pyVHDLModel.ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
12998 Returns a reference to the parent entity.
13000 @*Return type: 
13001 ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
13003 @end deffn
13005 @geindex Position (pyGHDL.dom.Expression.DivisionExpression property)
13006 @anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression DivisionExpression Position}@anchor{4c9}
13007 @deffn {Property} Position:  @ref{200,,pyGHDL.dom.Position}
13009 @*Return type: 
13010 @ref{200,,Position}
13012 @end deffn
13014 @geindex RightOperand (pyGHDL.dom.Expression.DivisionExpression property)
13015 @anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression DivisionExpression RightOperand}@anchor{4ca}
13016 @deffn {Property} RightOperand
13017 @end deffn
13019 @geindex _FORMAT (pyGHDL.dom.Expression.DivisionExpression attribute)
13020 @anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression DivisionExpression _FORMAT}@anchor{4cb}
13021 @deffn {Attribute} _FORMAT:  Tuple[str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str},  str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str},  str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}]  =  ('@w{'}, ' / ', '@w{'})
13022 @end deffn
13024 @geindex _position (pyGHDL.dom.Expression.DivisionExpression attribute)
13025 @anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression DivisionExpression _position}@anchor{4cc}
13026 @deffn {Attribute} _position:  @ref{200,,Position}  =  None
13027 @end deffn
13029 @geindex parse() (pyGHDL.dom.Expression.DivisionExpression class method)
13030 @anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression DivisionExpression parse}@anchor{4cd}
13031 @deffn {Method} classmethod  parse (node)
13033 @*Return type: 
13034 BinaryExpression@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.BinaryExpression}
13036 @end deffn
13038 @geindex _leftOperand (pyGHDL.dom.Expression.DivisionExpression attribute)
13039 @anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression DivisionExpression _leftOperand}@anchor{4ce}
13040 @deffn {Attribute} _leftOperand:  Union[BaseExpression,  @ref{203,,QualifiedExpression},  @ref{204,,FunctionCall},  @ref{205,,TypeConversion},  @ref{206,,Constant},  ConstantSymbol,  @ref{207,,Variable},  VariableSymbol,  @ref{208,,Signal},  SignalSymbol,  Literal]
13041 @end deffn
13043 @geindex _rightOperand (pyGHDL.dom.Expression.DivisionExpression attribute)
13044 @anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression DivisionExpression _rightOperand}@anchor{4cf}
13045 @deffn {Attribute} _rightOperand:  Union[BaseExpression,  @ref{203,,QualifiedExpression},  @ref{204,,FunctionCall},  @ref{205,,TypeConversion},  @ref{206,,Constant},  ConstantSymbol,  @ref{207,,Variable},  VariableSymbol,  @ref{208,,Signal},  SignalSymbol,  Literal]
13046 @end deffn
13048 @geindex _parent (pyGHDL.dom.Expression.DivisionExpression attribute)
13049 @anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression DivisionExpression _parent}@anchor{4d0}
13050 @deffn {Attribute} _parent:  ModelEntity
13052 Reference to a parent entity in the model.
13053 @end deffn
13055 @geindex _iirNode (pyGHDL.dom.Expression.DivisionExpression attribute)
13056 @anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression DivisionExpression _iirNode}@anchor{4d1}
13057 @deffn {Attribute} _iirNode:  Iir
13058 @end deffn
13059 @end deffn
13061 @geindex RemainderExpression (class in pyGHDL.dom.Expression)
13062 @anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression RemainderExpression}@anchor{42b}
13063 @deffn {Class} pyGHDL.dom.Expression.RemainderExpression (node, left, right)
13065 @subsubheading Inheritance
13067 @image{inheritance-ceb2d7735dfdf333fd7813f435e25128202f4241,,,[graphviz],png}
13069 @subsubheading Members
13072 @geindex LeftOperand (pyGHDL.dom.Expression.RemainderExpression property)
13073 @anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression RemainderExpression LeftOperand}@anchor{4d2}
13074 @deffn {Property} LeftOperand
13075 @end deffn
13077 @geindex Parent (pyGHDL.dom.Expression.RemainderExpression property)
13078 @anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression RemainderExpression Parent}@anchor{4d3}
13079 @deffn {Property} Parent:  pyVHDLModel.ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
13081 Returns a reference to the parent entity.
13083 @*Return type: 
13084 ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
13086 @end deffn
13088 @geindex Position (pyGHDL.dom.Expression.RemainderExpression property)
13089 @anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression RemainderExpression Position}@anchor{4d4}
13090 @deffn {Property} Position:  @ref{200,,pyGHDL.dom.Position}
13092 @*Return type: 
13093 @ref{200,,Position}
13095 @end deffn
13097 @geindex RightOperand (pyGHDL.dom.Expression.RemainderExpression property)
13098 @anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression RemainderExpression RightOperand}@anchor{4d5}
13099 @deffn {Property} RightOperand
13100 @end deffn
13102 @geindex _FORMAT (pyGHDL.dom.Expression.RemainderExpression attribute)
13103 @anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression RemainderExpression _FORMAT}@anchor{4d6}
13104 @deffn {Attribute} _FORMAT:  Tuple[str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str},  str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str},  str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}]  =  ('@w{'}, ' rem ', '@w{'})
13105 @end deffn
13107 @geindex _position (pyGHDL.dom.Expression.RemainderExpression attribute)
13108 @anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression RemainderExpression _position}@anchor{4d7}
13109 @deffn {Attribute} _position:  @ref{200,,Position}  =  None
13110 @end deffn
13112 @geindex parse() (pyGHDL.dom.Expression.RemainderExpression class method)
13113 @anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression RemainderExpression parse}@anchor{4d8}
13114 @deffn {Method} classmethod  parse (node)
13116 @*Return type: 
13117 BinaryExpression@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.BinaryExpression}
13119 @end deffn
13121 @geindex _leftOperand (pyGHDL.dom.Expression.RemainderExpression attribute)
13122 @anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression RemainderExpression _leftOperand}@anchor{4d9}
13123 @deffn {Attribute} _leftOperand:  Union[BaseExpression,  @ref{203,,QualifiedExpression},  @ref{204,,FunctionCall},  @ref{205,,TypeConversion},  @ref{206,,Constant},  ConstantSymbol,  @ref{207,,Variable},  VariableSymbol,  @ref{208,,Signal},  SignalSymbol,  Literal]
13124 @end deffn
13126 @geindex _rightOperand (pyGHDL.dom.Expression.RemainderExpression attribute)
13127 @anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression RemainderExpression _rightOperand}@anchor{4da}
13128 @deffn {Attribute} _rightOperand:  Union[BaseExpression,  @ref{203,,QualifiedExpression},  @ref{204,,FunctionCall},  @ref{205,,TypeConversion},  @ref{206,,Constant},  ConstantSymbol,  @ref{207,,Variable},  VariableSymbol,  @ref{208,,Signal},  SignalSymbol,  Literal]
13129 @end deffn
13131 @geindex _parent (pyGHDL.dom.Expression.RemainderExpression attribute)
13132 @anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression RemainderExpression _parent}@anchor{4db}
13133 @deffn {Attribute} _parent:  ModelEntity
13135 Reference to a parent entity in the model.
13136 @end deffn
13138 @geindex _iirNode (pyGHDL.dom.Expression.RemainderExpression attribute)
13139 @anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression RemainderExpression _iirNode}@anchor{4dc}
13140 @deffn {Attribute} _iirNode:  Iir
13141 @end deffn
13142 @end deffn
13144 @geindex ModuloExpression (class in pyGHDL.dom.Expression)
13145 @anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression ModuloExpression}@anchor{42c}
13146 @deffn {Class} pyGHDL.dom.Expression.ModuloExpression (node, left, right)
13148 @subsubheading Inheritance
13150 @image{inheritance-8b63406558428c4b6a8cf956ee3297be96a66bca,,,[graphviz],png}
13152 @subsubheading Members
13155 @geindex LeftOperand (pyGHDL.dom.Expression.ModuloExpression property)
13156 @anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression ModuloExpression LeftOperand}@anchor{4dd}
13157 @deffn {Property} LeftOperand
13158 @end deffn
13160 @geindex Parent (pyGHDL.dom.Expression.ModuloExpression property)
13161 @anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression ModuloExpression Parent}@anchor{4de}
13162 @deffn {Property} Parent:  pyVHDLModel.ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
13164 Returns a reference to the parent entity.
13166 @*Return type: 
13167 ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
13169 @end deffn
13171 @geindex Position (pyGHDL.dom.Expression.ModuloExpression property)
13172 @anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression ModuloExpression Position}@anchor{4df}
13173 @deffn {Property} Position:  @ref{200,,pyGHDL.dom.Position}
13175 @*Return type: 
13176 @ref{200,,Position}
13178 @end deffn
13180 @geindex RightOperand (pyGHDL.dom.Expression.ModuloExpression property)
13181 @anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression ModuloExpression RightOperand}@anchor{4e0}
13182 @deffn {Property} RightOperand
13183 @end deffn
13185 @geindex _FORMAT (pyGHDL.dom.Expression.ModuloExpression attribute)
13186 @anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression ModuloExpression _FORMAT}@anchor{4e1}
13187 @deffn {Attribute} _FORMAT:  Tuple[str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str},  str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str},  str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}]  =  ('@w{'}, ' mod ', '@w{'})
13188 @end deffn
13190 @geindex _position (pyGHDL.dom.Expression.ModuloExpression attribute)
13191 @anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression ModuloExpression _position}@anchor{4e2}
13192 @deffn {Attribute} _position:  @ref{200,,Position}  =  None
13193 @end deffn
13195 @geindex parse() (pyGHDL.dom.Expression.ModuloExpression class method)
13196 @anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression ModuloExpression parse}@anchor{4e3}
13197 @deffn {Method} classmethod  parse (node)
13199 @*Return type: 
13200 BinaryExpression@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.BinaryExpression}
13202 @end deffn
13204 @geindex _leftOperand (pyGHDL.dom.Expression.ModuloExpression attribute)
13205 @anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression ModuloExpression _leftOperand}@anchor{4e4}
13206 @deffn {Attribute} _leftOperand:  Union[BaseExpression,  @ref{203,,QualifiedExpression},  @ref{204,,FunctionCall},  @ref{205,,TypeConversion},  @ref{206,,Constant},  ConstantSymbol,  @ref{207,,Variable},  VariableSymbol,  @ref{208,,Signal},  SignalSymbol,  Literal]
13207 @end deffn
13209 @geindex _rightOperand (pyGHDL.dom.Expression.ModuloExpression attribute)
13210 @anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression ModuloExpression _rightOperand}@anchor{4e5}
13211 @deffn {Attribute} _rightOperand:  Union[BaseExpression,  @ref{203,,QualifiedExpression},  @ref{204,,FunctionCall},  @ref{205,,TypeConversion},  @ref{206,,Constant},  ConstantSymbol,  @ref{207,,Variable},  VariableSymbol,  @ref{208,,Signal},  SignalSymbol,  Literal]
13212 @end deffn
13214 @geindex _parent (pyGHDL.dom.Expression.ModuloExpression attribute)
13215 @anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression ModuloExpression _parent}@anchor{4e6}
13216 @deffn {Attribute} _parent:  ModelEntity
13218 Reference to a parent entity in the model.
13219 @end deffn
13221 @geindex _iirNode (pyGHDL.dom.Expression.ModuloExpression attribute)
13222 @anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression ModuloExpression _iirNode}@anchor{4e7}
13223 @deffn {Attribute} _iirNode:  Iir
13224 @end deffn
13225 @end deffn
13227 @geindex ExponentiationExpression (class in pyGHDL.dom.Expression)
13228 @anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression ExponentiationExpression}@anchor{42d}
13229 @deffn {Class} pyGHDL.dom.Expression.ExponentiationExpression (node, left, right)
13231 @subsubheading Inheritance
13233 @image{inheritance-fc6a4113920aaca0aeeada93485b228107acf212,,,[graphviz],png}
13235 @subsubheading Members
13238 @geindex LeftOperand (pyGHDL.dom.Expression.ExponentiationExpression property)
13239 @anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression ExponentiationExpression LeftOperand}@anchor{4e8}
13240 @deffn {Property} LeftOperand
13241 @end deffn
13243 @geindex Parent (pyGHDL.dom.Expression.ExponentiationExpression property)
13244 @anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression ExponentiationExpression Parent}@anchor{4e9}
13245 @deffn {Property} Parent:  pyVHDLModel.ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
13247 Returns a reference to the parent entity.
13249 @*Return type: 
13250 ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
13252 @end deffn
13254 @geindex Position (pyGHDL.dom.Expression.ExponentiationExpression property)
13255 @anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression ExponentiationExpression Position}@anchor{4ea}
13256 @deffn {Property} Position:  @ref{200,,pyGHDL.dom.Position}
13258 @*Return type: 
13259 @ref{200,,Position}
13261 @end deffn
13263 @geindex RightOperand (pyGHDL.dom.Expression.ExponentiationExpression property)
13264 @anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression ExponentiationExpression RightOperand}@anchor{4eb}
13265 @deffn {Property} RightOperand
13266 @end deffn
13268 @geindex _FORMAT (pyGHDL.dom.Expression.ExponentiationExpression attribute)
13269 @anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression ExponentiationExpression _FORMAT}@anchor{4ec}
13270 @deffn {Attribute} _FORMAT:  Tuple[str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str},  str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str},  str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}]  =  ('@w{'}, '**', '@w{'})
13271 @end deffn
13273 @geindex _position (pyGHDL.dom.Expression.ExponentiationExpression attribute)
13274 @anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression ExponentiationExpression _position}@anchor{4ed}
13275 @deffn {Attribute} _position:  @ref{200,,Position}  =  None
13276 @end deffn
13278 @geindex parse() (pyGHDL.dom.Expression.ExponentiationExpression class method)
13279 @anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression ExponentiationExpression parse}@anchor{4ee}
13280 @deffn {Method} classmethod  parse (node)
13282 @*Return type: 
13283 BinaryExpression@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.BinaryExpression}
13285 @end deffn
13287 @geindex _leftOperand (pyGHDL.dom.Expression.ExponentiationExpression attribute)
13288 @anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression ExponentiationExpression _leftOperand}@anchor{4ef}
13289 @deffn {Attribute} _leftOperand:  Union[BaseExpression,  @ref{203,,QualifiedExpression},  @ref{204,,FunctionCall},  @ref{205,,TypeConversion},  @ref{206,,Constant},  ConstantSymbol,  @ref{207,,Variable},  VariableSymbol,  @ref{208,,Signal},  SignalSymbol,  Literal]
13290 @end deffn
13292 @geindex _rightOperand (pyGHDL.dom.Expression.ExponentiationExpression attribute)
13293 @anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression ExponentiationExpression _rightOperand}@anchor{4f0}
13294 @deffn {Attribute} _rightOperand:  Union[BaseExpression,  @ref{203,,QualifiedExpression},  @ref{204,,FunctionCall},  @ref{205,,TypeConversion},  @ref{206,,Constant},  ConstantSymbol,  @ref{207,,Variable},  VariableSymbol,  @ref{208,,Signal},  SignalSymbol,  Literal]
13295 @end deffn
13297 @geindex _parent (pyGHDL.dom.Expression.ExponentiationExpression attribute)
13298 @anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression ExponentiationExpression _parent}@anchor{4f1}
13299 @deffn {Attribute} _parent:  ModelEntity
13301 Reference to a parent entity in the model.
13302 @end deffn
13304 @geindex _iirNode (pyGHDL.dom.Expression.ExponentiationExpression attribute)
13305 @anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression ExponentiationExpression _iirNode}@anchor{4f2}
13306 @deffn {Attribute} _iirNode:  Iir
13307 @end deffn
13308 @end deffn
13310 @geindex AndExpression (class in pyGHDL.dom.Expression)
13311 @anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression AndExpression}@anchor{42e}
13312 @deffn {Class} pyGHDL.dom.Expression.AndExpression (node, left, right)
13314 @subsubheading Inheritance
13316 @image{inheritance-5125a5fd336a2716ace0564838e8b00330ff99a7,,,[graphviz],png}
13318 @subsubheading Members
13321 @geindex LeftOperand (pyGHDL.dom.Expression.AndExpression property)
13322 @anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression AndExpression LeftOperand}@anchor{4f3}
13323 @deffn {Property} LeftOperand
13324 @end deffn
13326 @geindex Parent (pyGHDL.dom.Expression.AndExpression property)
13327 @anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression AndExpression Parent}@anchor{4f4}
13328 @deffn {Property} Parent:  pyVHDLModel.ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
13330 Returns a reference to the parent entity.
13332 @*Return type: 
13333 ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
13335 @end deffn
13337 @geindex Position (pyGHDL.dom.Expression.AndExpression property)
13338 @anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression AndExpression Position}@anchor{4f5}
13339 @deffn {Property} Position:  @ref{200,,pyGHDL.dom.Position}
13341 @*Return type: 
13342 @ref{200,,Position}
13344 @end deffn
13346 @geindex RightOperand (pyGHDL.dom.Expression.AndExpression property)
13347 @anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression AndExpression RightOperand}@anchor{4f6}
13348 @deffn {Property} RightOperand
13349 @end deffn
13351 @geindex _FORMAT (pyGHDL.dom.Expression.AndExpression attribute)
13352 @anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression AndExpression _FORMAT}@anchor{4f7}
13353 @deffn {Attribute} _FORMAT:  Tuple[str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str},  str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str},  str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}]  =  ('@w{'}, ' and ', '@w{'})
13354 @end deffn
13356 @geindex _position (pyGHDL.dom.Expression.AndExpression attribute)
13357 @anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression AndExpression _position}@anchor{4f8}
13358 @deffn {Attribute} _position:  @ref{200,,Position}  =  None
13359 @end deffn
13361 @geindex parse() (pyGHDL.dom.Expression.AndExpression class method)
13362 @anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression AndExpression parse}@anchor{4f9}
13363 @deffn {Method} classmethod  parse (node)
13365 @*Return type: 
13366 BinaryExpression@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.BinaryExpression}
13368 @end deffn
13370 @geindex _leftOperand (pyGHDL.dom.Expression.AndExpression attribute)
13371 @anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression AndExpression _leftOperand}@anchor{4fa}
13372 @deffn {Attribute} _leftOperand:  Union[BaseExpression,  @ref{203,,QualifiedExpression},  @ref{204,,FunctionCall},  @ref{205,,TypeConversion},  @ref{206,,Constant},  ConstantSymbol,  @ref{207,,Variable},  VariableSymbol,  @ref{208,,Signal},  SignalSymbol,  Literal]
13373 @end deffn
13375 @geindex _rightOperand (pyGHDL.dom.Expression.AndExpression attribute)
13376 @anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression AndExpression _rightOperand}@anchor{4fb}
13377 @deffn {Attribute} _rightOperand:  Union[BaseExpression,  @ref{203,,QualifiedExpression},  @ref{204,,FunctionCall},  @ref{205,,TypeConversion},  @ref{206,,Constant},  ConstantSymbol,  @ref{207,,Variable},  VariableSymbol,  @ref{208,,Signal},  SignalSymbol,  Literal]
13378 @end deffn
13380 @geindex _parent (pyGHDL.dom.Expression.AndExpression attribute)
13381 @anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression AndExpression _parent}@anchor{4fc}
13382 @deffn {Attribute} _parent:  ModelEntity
13384 Reference to a parent entity in the model.
13385 @end deffn
13387 @geindex _iirNode (pyGHDL.dom.Expression.AndExpression attribute)
13388 @anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression AndExpression _iirNode}@anchor{4fd}
13389 @deffn {Attribute} _iirNode:  Iir
13390 @end deffn
13391 @end deffn
13393 @geindex NandExpression (class in pyGHDL.dom.Expression)
13394 @anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression NandExpression}@anchor{42f}
13395 @deffn {Class} pyGHDL.dom.Expression.NandExpression (node, left, right)
13397 @subsubheading Inheritance
13399 @image{inheritance-1ed6f6a6bc2fa04359a629204f83dc0bb3f5ade3,,,[graphviz],png}
13401 @subsubheading Members
13404 @geindex LeftOperand (pyGHDL.dom.Expression.NandExpression property)
13405 @anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression NandExpression LeftOperand}@anchor{4fe}
13406 @deffn {Property} LeftOperand
13407 @end deffn
13409 @geindex Parent (pyGHDL.dom.Expression.NandExpression property)
13410 @anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression NandExpression Parent}@anchor{4ff}
13411 @deffn {Property} Parent:  pyVHDLModel.ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
13413 Returns a reference to the parent entity.
13415 @*Return type: 
13416 ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
13418 @end deffn
13420 @geindex Position (pyGHDL.dom.Expression.NandExpression property)
13421 @anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression NandExpression Position}@anchor{500}
13422 @deffn {Property} Position:  @ref{200,,pyGHDL.dom.Position}
13424 @*Return type: 
13425 @ref{200,,Position}
13427 @end deffn
13429 @geindex RightOperand (pyGHDL.dom.Expression.NandExpression property)
13430 @anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression NandExpression RightOperand}@anchor{501}
13431 @deffn {Property} RightOperand
13432 @end deffn
13434 @geindex _FORMAT (pyGHDL.dom.Expression.NandExpression attribute)
13435 @anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression NandExpression _FORMAT}@anchor{502}
13436 @deffn {Attribute} _FORMAT:  Tuple[str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str},  str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str},  str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}]  =  ('@w{'}, ' nand ', '@w{'})
13437 @end deffn
13439 @geindex _position (pyGHDL.dom.Expression.NandExpression attribute)
13440 @anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression NandExpression _position}@anchor{503}
13441 @deffn {Attribute} _position:  @ref{200,,Position}  =  None
13442 @end deffn
13444 @geindex parse() (pyGHDL.dom.Expression.NandExpression class method)
13445 @anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression NandExpression parse}@anchor{504}
13446 @deffn {Method} classmethod  parse (node)
13448 @*Return type: 
13449 BinaryExpression@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.BinaryExpression}
13451 @end deffn
13453 @geindex _leftOperand (pyGHDL.dom.Expression.NandExpression attribute)
13454 @anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression NandExpression _leftOperand}@anchor{505}
13455 @deffn {Attribute} _leftOperand:  Union[BaseExpression,  @ref{203,,QualifiedExpression},  @ref{204,,FunctionCall},  @ref{205,,TypeConversion},  @ref{206,,Constant},  ConstantSymbol,  @ref{207,,Variable},  VariableSymbol,  @ref{208,,Signal},  SignalSymbol,  Literal]
13456 @end deffn
13458 @geindex _rightOperand (pyGHDL.dom.Expression.NandExpression attribute)
13459 @anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression NandExpression _rightOperand}@anchor{506}
13460 @deffn {Attribute} _rightOperand:  Union[BaseExpression,  @ref{203,,QualifiedExpression},  @ref{204,,FunctionCall},  @ref{205,,TypeConversion},  @ref{206,,Constant},  ConstantSymbol,  @ref{207,,Variable},  VariableSymbol,  @ref{208,,Signal},  SignalSymbol,  Literal]
13461 @end deffn
13463 @geindex _parent (pyGHDL.dom.Expression.NandExpression attribute)
13464 @anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression NandExpression _parent}@anchor{507}
13465 @deffn {Attribute} _parent:  ModelEntity
13467 Reference to a parent entity in the model.
13468 @end deffn
13470 @geindex _iirNode (pyGHDL.dom.Expression.NandExpression attribute)
13471 @anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression NandExpression _iirNode}@anchor{508}
13472 @deffn {Attribute} _iirNode:  Iir
13473 @end deffn
13474 @end deffn
13476 @geindex OrExpression (class in pyGHDL.dom.Expression)
13477 @anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression OrExpression}@anchor{430}
13478 @deffn {Class} pyGHDL.dom.Expression.OrExpression (node, left, right)
13480 @subsubheading Inheritance
13482 @image{inheritance-b30be63d1a11fd663e6aee4b8bd904575f6ec36a,,,[graphviz],png}
13484 @subsubheading Members
13487 @geindex LeftOperand (pyGHDL.dom.Expression.OrExpression property)
13488 @anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression OrExpression LeftOperand}@anchor{509}
13489 @deffn {Property} LeftOperand
13490 @end deffn
13492 @geindex Parent (pyGHDL.dom.Expression.OrExpression property)
13493 @anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression OrExpression Parent}@anchor{50a}
13494 @deffn {Property} Parent:  pyVHDLModel.ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
13496 Returns a reference to the parent entity.
13498 @*Return type: 
13499 ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
13501 @end deffn
13503 @geindex Position (pyGHDL.dom.Expression.OrExpression property)
13504 @anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression OrExpression Position}@anchor{50b}
13505 @deffn {Property} Position:  @ref{200,,pyGHDL.dom.Position}
13507 @*Return type: 
13508 @ref{200,,Position}
13510 @end deffn
13512 @geindex RightOperand (pyGHDL.dom.Expression.OrExpression property)
13513 @anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression OrExpression RightOperand}@anchor{50c}
13514 @deffn {Property} RightOperand
13515 @end deffn
13517 @geindex _FORMAT (pyGHDL.dom.Expression.OrExpression attribute)
13518 @anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression OrExpression _FORMAT}@anchor{50d}
13519 @deffn {Attribute} _FORMAT:  Tuple[str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str},  str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str},  str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}]  =  ('@w{'}, ' or ', '@w{'})
13520 @end deffn
13522 @geindex _position (pyGHDL.dom.Expression.OrExpression attribute)
13523 @anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression OrExpression _position}@anchor{50e}
13524 @deffn {Attribute} _position:  @ref{200,,Position}  =  None
13525 @end deffn
13527 @geindex parse() (pyGHDL.dom.Expression.OrExpression class method)
13528 @anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression OrExpression parse}@anchor{50f}
13529 @deffn {Method} classmethod  parse (node)
13531 @*Return type: 
13532 BinaryExpression@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.BinaryExpression}
13534 @end deffn
13536 @geindex _leftOperand (pyGHDL.dom.Expression.OrExpression attribute)
13537 @anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression OrExpression _leftOperand}@anchor{510}
13538 @deffn {Attribute} _leftOperand:  Union[BaseExpression,  @ref{203,,QualifiedExpression},  @ref{204,,FunctionCall},  @ref{205,,TypeConversion},  @ref{206,,Constant},  ConstantSymbol,  @ref{207,,Variable},  VariableSymbol,  @ref{208,,Signal},  SignalSymbol,  Literal]
13539 @end deffn
13541 @geindex _rightOperand (pyGHDL.dom.Expression.OrExpression attribute)
13542 @anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression OrExpression _rightOperand}@anchor{511}
13543 @deffn {Attribute} _rightOperand:  Union[BaseExpression,  @ref{203,,QualifiedExpression},  @ref{204,,FunctionCall},  @ref{205,,TypeConversion},  @ref{206,,Constant},  ConstantSymbol,  @ref{207,,Variable},  VariableSymbol,  @ref{208,,Signal},  SignalSymbol,  Literal]
13544 @end deffn
13546 @geindex _parent (pyGHDL.dom.Expression.OrExpression attribute)
13547 @anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression OrExpression _parent}@anchor{512}
13548 @deffn {Attribute} _parent:  ModelEntity
13550 Reference to a parent entity in the model.
13551 @end deffn
13553 @geindex _iirNode (pyGHDL.dom.Expression.OrExpression attribute)
13554 @anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression OrExpression _iirNode}@anchor{513}
13555 @deffn {Attribute} _iirNode:  Iir
13556 @end deffn
13557 @end deffn
13559 @geindex NorExpression (class in pyGHDL.dom.Expression)
13560 @anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression NorExpression}@anchor{431}
13561 @deffn {Class} pyGHDL.dom.Expression.NorExpression (node, left, right)
13563 @subsubheading Inheritance
13565 @image{inheritance-47db195cb5950f5520bc20aad6c4270164f0b7fd,,,[graphviz],png}
13567 @subsubheading Members
13570 @geindex LeftOperand (pyGHDL.dom.Expression.NorExpression property)
13571 @anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression NorExpression LeftOperand}@anchor{514}
13572 @deffn {Property} LeftOperand
13573 @end deffn
13575 @geindex Parent (pyGHDL.dom.Expression.NorExpression property)
13576 @anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression NorExpression Parent}@anchor{515}
13577 @deffn {Property} Parent:  pyVHDLModel.ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
13579 Returns a reference to the parent entity.
13581 @*Return type: 
13582 ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
13584 @end deffn
13586 @geindex Position (pyGHDL.dom.Expression.NorExpression property)
13587 @anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression NorExpression Position}@anchor{516}
13588 @deffn {Property} Position:  @ref{200,,pyGHDL.dom.Position}
13590 @*Return type: 
13591 @ref{200,,Position}
13593 @end deffn
13595 @geindex RightOperand (pyGHDL.dom.Expression.NorExpression property)
13596 @anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression NorExpression RightOperand}@anchor{517}
13597 @deffn {Property} RightOperand
13598 @end deffn
13600 @geindex _FORMAT (pyGHDL.dom.Expression.NorExpression attribute)
13601 @anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression NorExpression _FORMAT}@anchor{518}
13602 @deffn {Attribute} _FORMAT:  Tuple[str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str},  str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str},  str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}]  =  ('@w{'}, ' nor ', '@w{'})
13603 @end deffn
13605 @geindex _position (pyGHDL.dom.Expression.NorExpression attribute)
13606 @anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression NorExpression _position}@anchor{519}
13607 @deffn {Attribute} _position:  @ref{200,,Position}  =  None
13608 @end deffn
13610 @geindex parse() (pyGHDL.dom.Expression.NorExpression class method)
13611 @anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression NorExpression parse}@anchor{51a}
13612 @deffn {Method} classmethod  parse (node)
13614 @*Return type: 
13615 BinaryExpression@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.BinaryExpression}
13617 @end deffn
13619 @geindex _leftOperand (pyGHDL.dom.Expression.NorExpression attribute)
13620 @anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression NorExpression _leftOperand}@anchor{51b}
13621 @deffn {Attribute} _leftOperand:  Union[BaseExpression,  @ref{203,,QualifiedExpression},  @ref{204,,FunctionCall},  @ref{205,,TypeConversion},  @ref{206,,Constant},  ConstantSymbol,  @ref{207,,Variable},  VariableSymbol,  @ref{208,,Signal},  SignalSymbol,  Literal]
13622 @end deffn
13624 @geindex _rightOperand (pyGHDL.dom.Expression.NorExpression attribute)
13625 @anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression NorExpression _rightOperand}@anchor{51c}
13626 @deffn {Attribute} _rightOperand:  Union[BaseExpression,  @ref{203,,QualifiedExpression},  @ref{204,,FunctionCall},  @ref{205,,TypeConversion},  @ref{206,,Constant},  ConstantSymbol,  @ref{207,,Variable},  VariableSymbol,  @ref{208,,Signal},  SignalSymbol,  Literal]
13627 @end deffn
13629 @geindex _parent (pyGHDL.dom.Expression.NorExpression attribute)
13630 @anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression NorExpression _parent}@anchor{51d}
13631 @deffn {Attribute} _parent:  ModelEntity
13633 Reference to a parent entity in the model.
13634 @end deffn
13636 @geindex _iirNode (pyGHDL.dom.Expression.NorExpression attribute)
13637 @anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression NorExpression _iirNode}@anchor{51e}
13638 @deffn {Attribute} _iirNode:  Iir
13639 @end deffn
13640 @end deffn
13642 @geindex XorExpression (class in pyGHDL.dom.Expression)
13643 @anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression XorExpression}@anchor{432}
13644 @deffn {Class} pyGHDL.dom.Expression.XorExpression (node, left, right)
13646 @subsubheading Inheritance
13648 @image{inheritance-344a2fa961b0abf0152b306415cf73d9f02a4000,,,[graphviz],png}
13650 @subsubheading Members
13653 @geindex LeftOperand (pyGHDL.dom.Expression.XorExpression property)
13654 @anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression XorExpression LeftOperand}@anchor{51f}
13655 @deffn {Property} LeftOperand
13656 @end deffn
13658 @geindex Parent (pyGHDL.dom.Expression.XorExpression property)
13659 @anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression XorExpression Parent}@anchor{520}
13660 @deffn {Property} Parent:  pyVHDLModel.ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
13662 Returns a reference to the parent entity.
13664 @*Return type: 
13665 ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
13667 @end deffn
13669 @geindex Position (pyGHDL.dom.Expression.XorExpression property)
13670 @anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression XorExpression Position}@anchor{521}
13671 @deffn {Property} Position:  @ref{200,,pyGHDL.dom.Position}
13673 @*Return type: 
13674 @ref{200,,Position}
13676 @end deffn
13678 @geindex RightOperand (pyGHDL.dom.Expression.XorExpression property)
13679 @anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression XorExpression RightOperand}@anchor{522}
13680 @deffn {Property} RightOperand
13681 @end deffn
13683 @geindex _FORMAT (pyGHDL.dom.Expression.XorExpression attribute)
13684 @anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression XorExpression _FORMAT}@anchor{523}
13685 @deffn {Attribute} _FORMAT:  Tuple[str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str},  str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str},  str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}]  =  ('@w{'}, ' xor ', '@w{'})
13686 @end deffn
13688 @geindex _position (pyGHDL.dom.Expression.XorExpression attribute)
13689 @anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression XorExpression _position}@anchor{524}
13690 @deffn {Attribute} _position:  @ref{200,,Position}  =  None
13691 @end deffn
13693 @geindex parse() (pyGHDL.dom.Expression.XorExpression class method)
13694 @anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression XorExpression parse}@anchor{525}
13695 @deffn {Method} classmethod  parse (node)
13697 @*Return type: 
13698 BinaryExpression@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.BinaryExpression}
13700 @end deffn
13702 @geindex _leftOperand (pyGHDL.dom.Expression.XorExpression attribute)
13703 @anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression XorExpression _leftOperand}@anchor{526}
13704 @deffn {Attribute} _leftOperand:  Union[BaseExpression,  @ref{203,,QualifiedExpression},  @ref{204,,FunctionCall},  @ref{205,,TypeConversion},  @ref{206,,Constant},  ConstantSymbol,  @ref{207,,Variable},  VariableSymbol,  @ref{208,,Signal},  SignalSymbol,  Literal]
13705 @end deffn
13707 @geindex _rightOperand (pyGHDL.dom.Expression.XorExpression attribute)
13708 @anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression XorExpression _rightOperand}@anchor{527}
13709 @deffn {Attribute} _rightOperand:  Union[BaseExpression,  @ref{203,,QualifiedExpression},  @ref{204,,FunctionCall},  @ref{205,,TypeConversion},  @ref{206,,Constant},  ConstantSymbol,  @ref{207,,Variable},  VariableSymbol,  @ref{208,,Signal},  SignalSymbol,  Literal]
13710 @end deffn
13712 @geindex _parent (pyGHDL.dom.Expression.XorExpression attribute)
13713 @anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression XorExpression _parent}@anchor{528}
13714 @deffn {Attribute} _parent:  ModelEntity
13716 Reference to a parent entity in the model.
13717 @end deffn
13719 @geindex _iirNode (pyGHDL.dom.Expression.XorExpression attribute)
13720 @anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression XorExpression _iirNode}@anchor{529}
13721 @deffn {Attribute} _iirNode:  Iir
13722 @end deffn
13723 @end deffn
13725 @geindex XnorExpression (class in pyGHDL.dom.Expression)
13726 @anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression XnorExpression}@anchor{433}
13727 @deffn {Class} pyGHDL.dom.Expression.XnorExpression (node, left, right)
13729 @subsubheading Inheritance
13731 @image{inheritance-f13dc3111f19f24becc50de825456ee3d85938ef,,,[graphviz],png}
13733 @subsubheading Members
13736 @geindex LeftOperand (pyGHDL.dom.Expression.XnorExpression property)
13737 @anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression XnorExpression LeftOperand}@anchor{52a}
13738 @deffn {Property} LeftOperand
13739 @end deffn
13741 @geindex Parent (pyGHDL.dom.Expression.XnorExpression property)
13742 @anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression XnorExpression Parent}@anchor{52b}
13743 @deffn {Property} Parent:  pyVHDLModel.ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
13745 Returns a reference to the parent entity.
13747 @*Return type: 
13748 ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
13750 @end deffn
13752 @geindex Position (pyGHDL.dom.Expression.XnorExpression property)
13753 @anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression XnorExpression Position}@anchor{52c}
13754 @deffn {Property} Position:  @ref{200,,pyGHDL.dom.Position}
13756 @*Return type: 
13757 @ref{200,,Position}
13759 @end deffn
13761 @geindex RightOperand (pyGHDL.dom.Expression.XnorExpression property)
13762 @anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression XnorExpression RightOperand}@anchor{52d}
13763 @deffn {Property} RightOperand
13764 @end deffn
13766 @geindex _FORMAT (pyGHDL.dom.Expression.XnorExpression attribute)
13767 @anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression XnorExpression _FORMAT}@anchor{52e}
13768 @deffn {Attribute} _FORMAT:  Tuple[str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str},  str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str},  str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}]  =  ('@w{'}, ' xnor ', '@w{'})
13769 @end deffn
13771 @geindex _position (pyGHDL.dom.Expression.XnorExpression attribute)
13772 @anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression XnorExpression _position}@anchor{52f}
13773 @deffn {Attribute} _position:  @ref{200,,Position}  =  None
13774 @end deffn
13776 @geindex parse() (pyGHDL.dom.Expression.XnorExpression class method)
13777 @anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression XnorExpression parse}@anchor{530}
13778 @deffn {Method} classmethod  parse (node)
13780 @*Return type: 
13781 BinaryExpression@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.BinaryExpression}
13783 @end deffn
13785 @geindex _leftOperand (pyGHDL.dom.Expression.XnorExpression attribute)
13786 @anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression XnorExpression _leftOperand}@anchor{531}
13787 @deffn {Attribute} _leftOperand:  Union[BaseExpression,  @ref{203,,QualifiedExpression},  @ref{204,,FunctionCall},  @ref{205,,TypeConversion},  @ref{206,,Constant},  ConstantSymbol,  @ref{207,,Variable},  VariableSymbol,  @ref{208,,Signal},  SignalSymbol,  Literal]
13788 @end deffn
13790 @geindex _rightOperand (pyGHDL.dom.Expression.XnorExpression attribute)
13791 @anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression XnorExpression _rightOperand}@anchor{532}
13792 @deffn {Attribute} _rightOperand:  Union[BaseExpression,  @ref{203,,QualifiedExpression},  @ref{204,,FunctionCall},  @ref{205,,TypeConversion},  @ref{206,,Constant},  ConstantSymbol,  @ref{207,,Variable},  VariableSymbol,  @ref{208,,Signal},  SignalSymbol,  Literal]
13793 @end deffn
13795 @geindex _parent (pyGHDL.dom.Expression.XnorExpression attribute)
13796 @anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression XnorExpression _parent}@anchor{533}
13797 @deffn {Attribute} _parent:  ModelEntity
13799 Reference to a parent entity in the model.
13800 @end deffn
13802 @geindex _iirNode (pyGHDL.dom.Expression.XnorExpression attribute)
13803 @anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression XnorExpression _iirNode}@anchor{534}
13804 @deffn {Attribute} _iirNode:  Iir
13805 @end deffn
13806 @end deffn
13808 @geindex EqualExpression (class in pyGHDL.dom.Expression)
13809 @anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression EqualExpression}@anchor{434}
13810 @deffn {Class} pyGHDL.dom.Expression.EqualExpression (node, left, right)
13812 @subsubheading Inheritance
13814 @image{inheritance-2d4a8109c34c076a4f9bca654349667bdea14f8f,,,[graphviz],png}
13816 @subsubheading Members
13819 @geindex LeftOperand (pyGHDL.dom.Expression.EqualExpression property)
13820 @anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression EqualExpression LeftOperand}@anchor{535}
13821 @deffn {Property} LeftOperand
13822 @end deffn
13824 @geindex Parent (pyGHDL.dom.Expression.EqualExpression property)
13825 @anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression EqualExpression Parent}@anchor{536}
13826 @deffn {Property} Parent:  pyVHDLModel.ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
13828 Returns a reference to the parent entity.
13830 @*Return type: 
13831 ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
13833 @end deffn
13835 @geindex Position (pyGHDL.dom.Expression.EqualExpression property)
13836 @anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression EqualExpression Position}@anchor{537}
13837 @deffn {Property} Position:  @ref{200,,pyGHDL.dom.Position}
13839 @*Return type: 
13840 @ref{200,,Position}
13842 @end deffn
13844 @geindex RightOperand (pyGHDL.dom.Expression.EqualExpression property)
13845 @anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression EqualExpression RightOperand}@anchor{538}
13846 @deffn {Property} RightOperand
13847 @end deffn
13849 @geindex _FORMAT (pyGHDL.dom.Expression.EqualExpression attribute)
13850 @anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression EqualExpression _FORMAT}@anchor{539}
13851 @deffn {Attribute} _FORMAT:  Tuple[str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str},  str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str},  str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}]  =  ('@w{'}, ' = ', '@w{'})
13852 @end deffn
13854 @geindex _position (pyGHDL.dom.Expression.EqualExpression attribute)
13855 @anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression EqualExpression _position}@anchor{53a}
13856 @deffn {Attribute} _position:  @ref{200,,Position}  =  None
13857 @end deffn
13859 @geindex parse() (pyGHDL.dom.Expression.EqualExpression class method)
13860 @anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression EqualExpression parse}@anchor{53b}
13861 @deffn {Method} classmethod  parse (node)
13863 @*Return type: 
13864 BinaryExpression@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.BinaryExpression}
13866 @end deffn
13868 @geindex _leftOperand (pyGHDL.dom.Expression.EqualExpression attribute)
13869 @anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression EqualExpression _leftOperand}@anchor{53c}
13870 @deffn {Attribute} _leftOperand:  Union[BaseExpression,  @ref{203,,QualifiedExpression},  @ref{204,,FunctionCall},  @ref{205,,TypeConversion},  @ref{206,,Constant},  ConstantSymbol,  @ref{207,,Variable},  VariableSymbol,  @ref{208,,Signal},  SignalSymbol,  Literal]
13871 @end deffn
13873 @geindex _rightOperand (pyGHDL.dom.Expression.EqualExpression attribute)
13874 @anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression EqualExpression _rightOperand}@anchor{53d}
13875 @deffn {Attribute} _rightOperand:  Union[BaseExpression,  @ref{203,,QualifiedExpression},  @ref{204,,FunctionCall},  @ref{205,,TypeConversion},  @ref{206,,Constant},  ConstantSymbol,  @ref{207,,Variable},  VariableSymbol,  @ref{208,,Signal},  SignalSymbol,  Literal]
13876 @end deffn
13878 @geindex _parent (pyGHDL.dom.Expression.EqualExpression attribute)
13879 @anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression EqualExpression _parent}@anchor{53e}
13880 @deffn {Attribute} _parent:  ModelEntity
13882 Reference to a parent entity in the model.
13883 @end deffn
13885 @geindex _iirNode (pyGHDL.dom.Expression.EqualExpression attribute)
13886 @anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression EqualExpression _iirNode}@anchor{53f}
13887 @deffn {Attribute} _iirNode:  Iir
13888 @end deffn
13889 @end deffn
13891 @geindex UnequalExpression (class in pyGHDL.dom.Expression)
13892 @anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression UnequalExpression}@anchor{435}
13893 @deffn {Class} pyGHDL.dom.Expression.UnequalExpression (node, left, right)
13895 @subsubheading Inheritance
13897 @image{inheritance-009d12ae28c8eb24b03db10e3e19e64bf5be6be0,,,[graphviz],png}
13899 @subsubheading Members
13902 @geindex LeftOperand (pyGHDL.dom.Expression.UnequalExpression property)
13903 @anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression UnequalExpression LeftOperand}@anchor{540}
13904 @deffn {Property} LeftOperand
13905 @end deffn
13907 @geindex Parent (pyGHDL.dom.Expression.UnequalExpression property)
13908 @anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression UnequalExpression Parent}@anchor{541}
13909 @deffn {Property} Parent:  pyVHDLModel.ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
13911 Returns a reference to the parent entity.
13913 @*Return type: 
13914 ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
13916 @end deffn
13918 @geindex Position (pyGHDL.dom.Expression.UnequalExpression property)
13919 @anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression UnequalExpression Position}@anchor{542}
13920 @deffn {Property} Position:  @ref{200,,pyGHDL.dom.Position}
13922 @*Return type: 
13923 @ref{200,,Position}
13925 @end deffn
13927 @geindex RightOperand (pyGHDL.dom.Expression.UnequalExpression property)
13928 @anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression UnequalExpression RightOperand}@anchor{543}
13929 @deffn {Property} RightOperand
13930 @end deffn
13932 @geindex _FORMAT (pyGHDL.dom.Expression.UnequalExpression attribute)
13933 @anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression UnequalExpression _FORMAT}@anchor{544}
13934 @deffn {Attribute} _FORMAT:  Tuple[str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str},  str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str},  str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}]  =  ('@w{'}, ' /= ', '@w{'})
13935 @end deffn
13937 @geindex _position (pyGHDL.dom.Expression.UnequalExpression attribute)
13938 @anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression UnequalExpression _position}@anchor{545}
13939 @deffn {Attribute} _position:  @ref{200,,Position}  =  None
13940 @end deffn
13942 @geindex parse() (pyGHDL.dom.Expression.UnequalExpression class method)
13943 @anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression UnequalExpression parse}@anchor{546}
13944 @deffn {Method} classmethod  parse (node)
13946 @*Return type: 
13947 BinaryExpression@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.BinaryExpression}
13949 @end deffn
13951 @geindex _leftOperand (pyGHDL.dom.Expression.UnequalExpression attribute)
13952 @anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression UnequalExpression _leftOperand}@anchor{547}
13953 @deffn {Attribute} _leftOperand:  Union[BaseExpression,  @ref{203,,QualifiedExpression},  @ref{204,,FunctionCall},  @ref{205,,TypeConversion},  @ref{206,,Constant},  ConstantSymbol,  @ref{207,,Variable},  VariableSymbol,  @ref{208,,Signal},  SignalSymbol,  Literal]
13954 @end deffn
13956 @geindex _rightOperand (pyGHDL.dom.Expression.UnequalExpression attribute)
13957 @anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression UnequalExpression _rightOperand}@anchor{548}
13958 @deffn {Attribute} _rightOperand:  Union[BaseExpression,  @ref{203,,QualifiedExpression},  @ref{204,,FunctionCall},  @ref{205,,TypeConversion},  @ref{206,,Constant},  ConstantSymbol,  @ref{207,,Variable},  VariableSymbol,  @ref{208,,Signal},  SignalSymbol,  Literal]
13959 @end deffn
13961 @geindex _parent (pyGHDL.dom.Expression.UnequalExpression attribute)
13962 @anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression UnequalExpression _parent}@anchor{549}
13963 @deffn {Attribute} _parent:  ModelEntity
13965 Reference to a parent entity in the model.
13966 @end deffn
13968 @geindex _iirNode (pyGHDL.dom.Expression.UnequalExpression attribute)
13969 @anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression UnequalExpression _iirNode}@anchor{54a}
13970 @deffn {Attribute} _iirNode:  Iir
13971 @end deffn
13972 @end deffn
13974 @geindex LessThanExpression (class in pyGHDL.dom.Expression)
13975 @anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression LessThanExpression}@anchor{436}
13976 @deffn {Class} pyGHDL.dom.Expression.LessThanExpression (node, left, right)
13978 @subsubheading Inheritance
13980 @image{inheritance-00b4621918840d5326c8e17141b165d054120bbf,,,[graphviz],png}
13982 @subsubheading Members
13985 @geindex LeftOperand (pyGHDL.dom.Expression.LessThanExpression property)
13986 @anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression LessThanExpression LeftOperand}@anchor{54b}
13987 @deffn {Property} LeftOperand
13988 @end deffn
13990 @geindex Parent (pyGHDL.dom.Expression.LessThanExpression property)
13991 @anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression LessThanExpression Parent}@anchor{54c}
13992 @deffn {Property} Parent:  pyVHDLModel.ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
13994 Returns a reference to the parent entity.
13996 @*Return type: 
13997 ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
13999 @end deffn
14001 @geindex Position (pyGHDL.dom.Expression.LessThanExpression property)
14002 @anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression LessThanExpression Position}@anchor{54d}
14003 @deffn {Property} Position:  @ref{200,,pyGHDL.dom.Position}
14005 @*Return type: 
14006 @ref{200,,Position}
14008 @end deffn
14010 @geindex RightOperand (pyGHDL.dom.Expression.LessThanExpression property)
14011 @anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression LessThanExpression RightOperand}@anchor{54e}
14012 @deffn {Property} RightOperand
14013 @end deffn
14015 @geindex _FORMAT (pyGHDL.dom.Expression.LessThanExpression attribute)
14016 @anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression LessThanExpression _FORMAT}@anchor{54f}
14017 @deffn {Attribute} _FORMAT:  Tuple[str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str},  str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str},  str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}]  =  ('@w{'}, ' < ', '@w{'})
14018 @end deffn
14020 @geindex _position (pyGHDL.dom.Expression.LessThanExpression attribute)
14021 @anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression LessThanExpression _position}@anchor{550}
14022 @deffn {Attribute} _position:  @ref{200,,Position}  =  None
14023 @end deffn
14025 @geindex parse() (pyGHDL.dom.Expression.LessThanExpression class method)
14026 @anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression LessThanExpression parse}@anchor{551}
14027 @deffn {Method} classmethod  parse (node)
14029 @*Return type: 
14030 BinaryExpression@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.BinaryExpression}
14032 @end deffn
14034 @geindex _leftOperand (pyGHDL.dom.Expression.LessThanExpression attribute)
14035 @anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression LessThanExpression _leftOperand}@anchor{552}
14036 @deffn {Attribute} _leftOperand:  Union[BaseExpression,  @ref{203,,QualifiedExpression},  @ref{204,,FunctionCall},  @ref{205,,TypeConversion},  @ref{206,,Constant},  ConstantSymbol,  @ref{207,,Variable},  VariableSymbol,  @ref{208,,Signal},  SignalSymbol,  Literal]
14037 @end deffn
14039 @geindex _rightOperand (pyGHDL.dom.Expression.LessThanExpression attribute)
14040 @anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression LessThanExpression _rightOperand}@anchor{553}
14041 @deffn {Attribute} _rightOperand:  Union[BaseExpression,  @ref{203,,QualifiedExpression},  @ref{204,,FunctionCall},  @ref{205,,TypeConversion},  @ref{206,,Constant},  ConstantSymbol,  @ref{207,,Variable},  VariableSymbol,  @ref{208,,Signal},  SignalSymbol,  Literal]
14042 @end deffn
14044 @geindex _parent (pyGHDL.dom.Expression.LessThanExpression attribute)
14045 @anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression LessThanExpression _parent}@anchor{554}
14046 @deffn {Attribute} _parent:  ModelEntity
14048 Reference to a parent entity in the model.
14049 @end deffn
14051 @geindex _iirNode (pyGHDL.dom.Expression.LessThanExpression attribute)
14052 @anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression LessThanExpression _iirNode}@anchor{555}
14053 @deffn {Attribute} _iirNode:  Iir
14054 @end deffn
14055 @end deffn
14057 @geindex LessEqualExpression (class in pyGHDL.dom.Expression)
14058 @anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression LessEqualExpression}@anchor{437}
14059 @deffn {Class} pyGHDL.dom.Expression.LessEqualExpression (node, left, right)
14061 @subsubheading Inheritance
14063 @image{inheritance-19a815f432150a606c1cec6393ae2bf26e804b5a,,,[graphviz],png}
14065 @subsubheading Members
14068 @geindex LeftOperand (pyGHDL.dom.Expression.LessEqualExpression property)
14069 @anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression LessEqualExpression LeftOperand}@anchor{556}
14070 @deffn {Property} LeftOperand
14071 @end deffn
14073 @geindex Parent (pyGHDL.dom.Expression.LessEqualExpression property)
14074 @anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression LessEqualExpression Parent}@anchor{557}
14075 @deffn {Property} Parent:  pyVHDLModel.ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
14077 Returns a reference to the parent entity.
14079 @*Return type: 
14080 ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
14082 @end deffn
14084 @geindex Position (pyGHDL.dom.Expression.LessEqualExpression property)
14085 @anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression LessEqualExpression Position}@anchor{558}
14086 @deffn {Property} Position:  @ref{200,,pyGHDL.dom.Position}
14088 @*Return type: 
14089 @ref{200,,Position}
14091 @end deffn
14093 @geindex RightOperand (pyGHDL.dom.Expression.LessEqualExpression property)
14094 @anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression LessEqualExpression RightOperand}@anchor{559}
14095 @deffn {Property} RightOperand
14096 @end deffn
14098 @geindex _FORMAT (pyGHDL.dom.Expression.LessEqualExpression attribute)
14099 @anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression LessEqualExpression _FORMAT}@anchor{55a}
14100 @deffn {Attribute} _FORMAT:  Tuple[str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str},  str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str},  str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}]  =  ('@w{'}, ' <= ', '@w{'})
14101 @end deffn
14103 @geindex _position (pyGHDL.dom.Expression.LessEqualExpression attribute)
14104 @anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression LessEqualExpression _position}@anchor{55b}
14105 @deffn {Attribute} _position:  @ref{200,,Position}  =  None
14106 @end deffn
14108 @geindex parse() (pyGHDL.dom.Expression.LessEqualExpression class method)
14109 @anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression LessEqualExpression parse}@anchor{55c}
14110 @deffn {Method} classmethod  parse (node)
14112 @*Return type: 
14113 BinaryExpression@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.BinaryExpression}
14115 @end deffn
14117 @geindex _leftOperand (pyGHDL.dom.Expression.LessEqualExpression attribute)
14118 @anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression LessEqualExpression _leftOperand}@anchor{55d}
14119 @deffn {Attribute} _leftOperand:  Union[BaseExpression,  @ref{203,,QualifiedExpression},  @ref{204,,FunctionCall},  @ref{205,,TypeConversion},  @ref{206,,Constant},  ConstantSymbol,  @ref{207,,Variable},  VariableSymbol,  @ref{208,,Signal},  SignalSymbol,  Literal]
14120 @end deffn
14122 @geindex _rightOperand (pyGHDL.dom.Expression.LessEqualExpression attribute)
14123 @anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression LessEqualExpression _rightOperand}@anchor{55e}
14124 @deffn {Attribute} _rightOperand:  Union[BaseExpression,  @ref{203,,QualifiedExpression},  @ref{204,,FunctionCall},  @ref{205,,TypeConversion},  @ref{206,,Constant},  ConstantSymbol,  @ref{207,,Variable},  VariableSymbol,  @ref{208,,Signal},  SignalSymbol,  Literal]
14125 @end deffn
14127 @geindex _parent (pyGHDL.dom.Expression.LessEqualExpression attribute)
14128 @anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression LessEqualExpression _parent}@anchor{55f}
14129 @deffn {Attribute} _parent:  ModelEntity
14131 Reference to a parent entity in the model.
14132 @end deffn
14134 @geindex _iirNode (pyGHDL.dom.Expression.LessEqualExpression attribute)
14135 @anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression LessEqualExpression _iirNode}@anchor{560}
14136 @deffn {Attribute} _iirNode:  Iir
14137 @end deffn
14138 @end deffn
14140 @geindex GreaterThanExpression (class in pyGHDL.dom.Expression)
14141 @anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression GreaterThanExpression}@anchor{438}
14142 @deffn {Class} pyGHDL.dom.Expression.GreaterThanExpression (node, left, right)
14144 @subsubheading Inheritance
14146 @image{inheritance-bba0e44fef20e438c3590dbf30215a41d2745631,,,[graphviz],png}
14148 @subsubheading Members
14151 @geindex LeftOperand (pyGHDL.dom.Expression.GreaterThanExpression property)
14152 @anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression GreaterThanExpression LeftOperand}@anchor{561}
14153 @deffn {Property} LeftOperand
14154 @end deffn
14156 @geindex Parent (pyGHDL.dom.Expression.GreaterThanExpression property)
14157 @anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression GreaterThanExpression Parent}@anchor{562}
14158 @deffn {Property} Parent:  pyVHDLModel.ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
14160 Returns a reference to the parent entity.
14162 @*Return type: 
14163 ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
14165 @end deffn
14167 @geindex Position (pyGHDL.dom.Expression.GreaterThanExpression property)
14168 @anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression GreaterThanExpression Position}@anchor{563}
14169 @deffn {Property} Position:  @ref{200,,pyGHDL.dom.Position}
14171 @*Return type: 
14172 @ref{200,,Position}
14174 @end deffn
14176 @geindex RightOperand (pyGHDL.dom.Expression.GreaterThanExpression property)
14177 @anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression GreaterThanExpression RightOperand}@anchor{564}
14178 @deffn {Property} RightOperand
14179 @end deffn
14181 @geindex _FORMAT (pyGHDL.dom.Expression.GreaterThanExpression attribute)
14182 @anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression GreaterThanExpression _FORMAT}@anchor{565}
14183 @deffn {Attribute} _FORMAT:  Tuple[str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str},  str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str},  str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}]  =  ('@w{'}, ' > ', '@w{'})
14184 @end deffn
14186 @geindex _position (pyGHDL.dom.Expression.GreaterThanExpression attribute)
14187 @anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression GreaterThanExpression _position}@anchor{566}
14188 @deffn {Attribute} _position:  @ref{200,,Position}  =  None
14189 @end deffn
14191 @geindex parse() (pyGHDL.dom.Expression.GreaterThanExpression class method)
14192 @anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression GreaterThanExpression parse}@anchor{567}
14193 @deffn {Method} classmethod  parse (node)
14195 @*Return type: 
14196 BinaryExpression@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.BinaryExpression}
14198 @end deffn
14200 @geindex _leftOperand (pyGHDL.dom.Expression.GreaterThanExpression attribute)
14201 @anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression GreaterThanExpression _leftOperand}@anchor{568}
14202 @deffn {Attribute} _leftOperand:  Union[BaseExpression,  @ref{203,,QualifiedExpression},  @ref{204,,FunctionCall},  @ref{205,,TypeConversion},  @ref{206,,Constant},  ConstantSymbol,  @ref{207,,Variable},  VariableSymbol,  @ref{208,,Signal},  SignalSymbol,  Literal]
14203 @end deffn
14205 @geindex _rightOperand (pyGHDL.dom.Expression.GreaterThanExpression attribute)
14206 @anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression GreaterThanExpression _rightOperand}@anchor{569}
14207 @deffn {Attribute} _rightOperand:  Union[BaseExpression,  @ref{203,,QualifiedExpression},  @ref{204,,FunctionCall},  @ref{205,,TypeConversion},  @ref{206,,Constant},  ConstantSymbol,  @ref{207,,Variable},  VariableSymbol,  @ref{208,,Signal},  SignalSymbol,  Literal]
14208 @end deffn
14210 @geindex _parent (pyGHDL.dom.Expression.GreaterThanExpression attribute)
14211 @anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression GreaterThanExpression _parent}@anchor{56a}
14212 @deffn {Attribute} _parent:  ModelEntity
14214 Reference to a parent entity in the model.
14215 @end deffn
14217 @geindex _iirNode (pyGHDL.dom.Expression.GreaterThanExpression attribute)
14218 @anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression GreaterThanExpression _iirNode}@anchor{56b}
14219 @deffn {Attribute} _iirNode:  Iir
14220 @end deffn
14221 @end deffn
14223 @geindex GreaterEqualExpression (class in pyGHDL.dom.Expression)
14224 @anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression GreaterEqualExpression}@anchor{439}
14225 @deffn {Class} pyGHDL.dom.Expression.GreaterEqualExpression (node, left, right)
14227 @subsubheading Inheritance
14229 @image{inheritance-a3df9e3ad38c2ade552cc35c4d8973fc64996afd,,,[graphviz],png}
14231 @subsubheading Members
14234 @geindex LeftOperand (pyGHDL.dom.Expression.GreaterEqualExpression property)
14235 @anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression GreaterEqualExpression LeftOperand}@anchor{56c}
14236 @deffn {Property} LeftOperand
14237 @end deffn
14239 @geindex Parent (pyGHDL.dom.Expression.GreaterEqualExpression property)
14240 @anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression GreaterEqualExpression Parent}@anchor{56d}
14241 @deffn {Property} Parent:  pyVHDLModel.ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
14243 Returns a reference to the parent entity.
14245 @*Return type: 
14246 ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
14248 @end deffn
14250 @geindex Position (pyGHDL.dom.Expression.GreaterEqualExpression property)
14251 @anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression GreaterEqualExpression Position}@anchor{56e}
14252 @deffn {Property} Position:  @ref{200,,pyGHDL.dom.Position}
14254 @*Return type: 
14255 @ref{200,,Position}
14257 @end deffn
14259 @geindex RightOperand (pyGHDL.dom.Expression.GreaterEqualExpression property)
14260 @anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression GreaterEqualExpression RightOperand}@anchor{56f}
14261 @deffn {Property} RightOperand
14262 @end deffn
14264 @geindex _FORMAT (pyGHDL.dom.Expression.GreaterEqualExpression attribute)
14265 @anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression GreaterEqualExpression _FORMAT}@anchor{570}
14266 @deffn {Attribute} _FORMAT:  Tuple[str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str},  str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str},  str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}]  =  ('@w{'}, ' >= ', '@w{'})
14267 @end deffn
14269 @geindex _position (pyGHDL.dom.Expression.GreaterEqualExpression attribute)
14270 @anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression GreaterEqualExpression _position}@anchor{571}
14271 @deffn {Attribute} _position:  @ref{200,,Position}  =  None
14272 @end deffn
14274 @geindex parse() (pyGHDL.dom.Expression.GreaterEqualExpression class method)
14275 @anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression GreaterEqualExpression parse}@anchor{572}
14276 @deffn {Method} classmethod  parse (node)
14278 @*Return type: 
14279 BinaryExpression@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.BinaryExpression}
14281 @end deffn
14283 @geindex _leftOperand (pyGHDL.dom.Expression.GreaterEqualExpression attribute)
14284 @anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression GreaterEqualExpression _leftOperand}@anchor{573}
14285 @deffn {Attribute} _leftOperand:  Union[BaseExpression,  @ref{203,,QualifiedExpression},  @ref{204,,FunctionCall},  @ref{205,,TypeConversion},  @ref{206,,Constant},  ConstantSymbol,  @ref{207,,Variable},  VariableSymbol,  @ref{208,,Signal},  SignalSymbol,  Literal]
14286 @end deffn
14288 @geindex _rightOperand (pyGHDL.dom.Expression.GreaterEqualExpression attribute)
14289 @anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression GreaterEqualExpression _rightOperand}@anchor{574}
14290 @deffn {Attribute} _rightOperand:  Union[BaseExpression,  @ref{203,,QualifiedExpression},  @ref{204,,FunctionCall},  @ref{205,,TypeConversion},  @ref{206,,Constant},  ConstantSymbol,  @ref{207,,Variable},  VariableSymbol,  @ref{208,,Signal},  SignalSymbol,  Literal]
14291 @end deffn
14293 @geindex _parent (pyGHDL.dom.Expression.GreaterEqualExpression attribute)
14294 @anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression GreaterEqualExpression _parent}@anchor{575}
14295 @deffn {Attribute} _parent:  ModelEntity
14297 Reference to a parent entity in the model.
14298 @end deffn
14300 @geindex _iirNode (pyGHDL.dom.Expression.GreaterEqualExpression attribute)
14301 @anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression GreaterEqualExpression _iirNode}@anchor{576}
14302 @deffn {Attribute} _iirNode:  Iir
14303 @end deffn
14304 @end deffn
14306 @geindex MatchingEqualExpression (class in pyGHDL.dom.Expression)
14307 @anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression MatchingEqualExpression}@anchor{43a}
14308 @deffn {Class} pyGHDL.dom.Expression.MatchingEqualExpression (node, left, right)
14310 @subsubheading Inheritance
14312 @image{inheritance-d7786f13f3b379c62b1388d91c7164ee0339624f,,,[graphviz],png}
14314 @subsubheading Members
14317 @geindex LeftOperand (pyGHDL.dom.Expression.MatchingEqualExpression property)
14318 @anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression MatchingEqualExpression LeftOperand}@anchor{577}
14319 @deffn {Property} LeftOperand
14320 @end deffn
14322 @geindex Parent (pyGHDL.dom.Expression.MatchingEqualExpression property)
14323 @anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression MatchingEqualExpression Parent}@anchor{578}
14324 @deffn {Property} Parent:  pyVHDLModel.ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
14326 Returns a reference to the parent entity.
14328 @*Return type: 
14329 ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
14331 @end deffn
14333 @geindex Position (pyGHDL.dom.Expression.MatchingEqualExpression property)
14334 @anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression MatchingEqualExpression Position}@anchor{579}
14335 @deffn {Property} Position:  @ref{200,,pyGHDL.dom.Position}
14337 @*Return type: 
14338 @ref{200,,Position}
14340 @end deffn
14342 @geindex RightOperand (pyGHDL.dom.Expression.MatchingEqualExpression property)
14343 @anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression MatchingEqualExpression RightOperand}@anchor{57a}
14344 @deffn {Property} RightOperand
14345 @end deffn
14347 @geindex _FORMAT (pyGHDL.dom.Expression.MatchingEqualExpression attribute)
14348 @anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression MatchingEqualExpression _FORMAT}@anchor{57b}
14349 @deffn {Attribute} _FORMAT:  Tuple[str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str},  str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str},  str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}]  =  ('@w{'}, ' ?= ', '@w{'})
14350 @end deffn
14352 @geindex _position (pyGHDL.dom.Expression.MatchingEqualExpression attribute)
14353 @anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression MatchingEqualExpression _position}@anchor{57c}
14354 @deffn {Attribute} _position:  @ref{200,,Position}  =  None
14355 @end deffn
14357 @geindex parse() (pyGHDL.dom.Expression.MatchingEqualExpression class method)
14358 @anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression MatchingEqualExpression parse}@anchor{57d}
14359 @deffn {Method} classmethod  parse (node)
14361 @*Return type: 
14362 BinaryExpression@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.BinaryExpression}
14364 @end deffn
14366 @geindex _leftOperand (pyGHDL.dom.Expression.MatchingEqualExpression attribute)
14367 @anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression MatchingEqualExpression _leftOperand}@anchor{57e}
14368 @deffn {Attribute} _leftOperand:  Union[BaseExpression,  @ref{203,,QualifiedExpression},  @ref{204,,FunctionCall},  @ref{205,,TypeConversion},  @ref{206,,Constant},  ConstantSymbol,  @ref{207,,Variable},  VariableSymbol,  @ref{208,,Signal},  SignalSymbol,  Literal]
14369 @end deffn
14371 @geindex _rightOperand (pyGHDL.dom.Expression.MatchingEqualExpression attribute)
14372 @anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression MatchingEqualExpression _rightOperand}@anchor{57f}
14373 @deffn {Attribute} _rightOperand:  Union[BaseExpression,  @ref{203,,QualifiedExpression},  @ref{204,,FunctionCall},  @ref{205,,TypeConversion},  @ref{206,,Constant},  ConstantSymbol,  @ref{207,,Variable},  VariableSymbol,  @ref{208,,Signal},  SignalSymbol,  Literal]
14374 @end deffn
14376 @geindex _parent (pyGHDL.dom.Expression.MatchingEqualExpression attribute)
14377 @anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression MatchingEqualExpression _parent}@anchor{580}
14378 @deffn {Attribute} _parent:  ModelEntity
14380 Reference to a parent entity in the model.
14381 @end deffn
14383 @geindex _iirNode (pyGHDL.dom.Expression.MatchingEqualExpression attribute)
14384 @anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression MatchingEqualExpression _iirNode}@anchor{581}
14385 @deffn {Attribute} _iirNode:  Iir
14386 @end deffn
14387 @end deffn
14389 @geindex MatchingUnequalExpression (class in pyGHDL.dom.Expression)
14390 @anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression MatchingUnequalExpression}@anchor{43b}
14391 @deffn {Class} pyGHDL.dom.Expression.MatchingUnequalExpression (node, left, right)
14393 @subsubheading Inheritance
14395 @image{inheritance-ab9f27cdde14edd5c094a0b36735bee201b3a55f,,,[graphviz],png}
14397 @subsubheading Members
14400 @geindex LeftOperand (pyGHDL.dom.Expression.MatchingUnequalExpression property)
14401 @anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression MatchingUnequalExpression LeftOperand}@anchor{582}
14402 @deffn {Property} LeftOperand
14403 @end deffn
14405 @geindex Parent (pyGHDL.dom.Expression.MatchingUnequalExpression property)
14406 @anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression MatchingUnequalExpression Parent}@anchor{583}
14407 @deffn {Property} Parent:  pyVHDLModel.ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
14409 Returns a reference to the parent entity.
14411 @*Return type: 
14412 ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
14414 @end deffn
14416 @geindex Position (pyGHDL.dom.Expression.MatchingUnequalExpression property)
14417 @anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression MatchingUnequalExpression Position}@anchor{584}
14418 @deffn {Property} Position:  @ref{200,,pyGHDL.dom.Position}
14420 @*Return type: 
14421 @ref{200,,Position}
14423 @end deffn
14425 @geindex RightOperand (pyGHDL.dom.Expression.MatchingUnequalExpression property)
14426 @anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression MatchingUnequalExpression RightOperand}@anchor{585}
14427 @deffn {Property} RightOperand
14428 @end deffn
14430 @geindex _FORMAT (pyGHDL.dom.Expression.MatchingUnequalExpression attribute)
14431 @anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression MatchingUnequalExpression _FORMAT}@anchor{586}
14432 @deffn {Attribute} _FORMAT:  Tuple[str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str},  str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str},  str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}]  =  ('@w{'}, ' ?/= ', '@w{'})
14433 @end deffn
14435 @geindex _position (pyGHDL.dom.Expression.MatchingUnequalExpression attribute)
14436 @anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression MatchingUnequalExpression _position}@anchor{587}
14437 @deffn {Attribute} _position:  @ref{200,,Position}  =  None
14438 @end deffn
14440 @geindex parse() (pyGHDL.dom.Expression.MatchingUnequalExpression class method)
14441 @anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression MatchingUnequalExpression parse}@anchor{588}
14442 @deffn {Method} classmethod  parse (node)
14444 @*Return type: 
14445 BinaryExpression@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.BinaryExpression}
14447 @end deffn
14449 @geindex _leftOperand (pyGHDL.dom.Expression.MatchingUnequalExpression attribute)
14450 @anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression MatchingUnequalExpression _leftOperand}@anchor{589}
14451 @deffn {Attribute} _leftOperand:  Union[BaseExpression,  @ref{203,,QualifiedExpression},  @ref{204,,FunctionCall},  @ref{205,,TypeConversion},  @ref{206,,Constant},  ConstantSymbol,  @ref{207,,Variable},  VariableSymbol,  @ref{208,,Signal},  SignalSymbol,  Literal]
14452 @end deffn
14454 @geindex _rightOperand (pyGHDL.dom.Expression.MatchingUnequalExpression attribute)
14455 @anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression MatchingUnequalExpression _rightOperand}@anchor{58a}
14456 @deffn {Attribute} _rightOperand:  Union[BaseExpression,  @ref{203,,QualifiedExpression},  @ref{204,,FunctionCall},  @ref{205,,TypeConversion},  @ref{206,,Constant},  ConstantSymbol,  @ref{207,,Variable},  VariableSymbol,  @ref{208,,Signal},  SignalSymbol,  Literal]
14457 @end deffn
14459 @geindex _parent (pyGHDL.dom.Expression.MatchingUnequalExpression attribute)
14460 @anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression MatchingUnequalExpression _parent}@anchor{58b}
14461 @deffn {Attribute} _parent:  ModelEntity
14463 Reference to a parent entity in the model.
14464 @end deffn
14466 @geindex _iirNode (pyGHDL.dom.Expression.MatchingUnequalExpression attribute)
14467 @anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression MatchingUnequalExpression _iirNode}@anchor{58c}
14468 @deffn {Attribute} _iirNode:  Iir
14469 @end deffn
14470 @end deffn
14472 @geindex MatchingLessThanExpression (class in pyGHDL.dom.Expression)
14473 @anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression MatchingLessThanExpression}@anchor{43c}
14474 @deffn {Class} pyGHDL.dom.Expression.MatchingLessThanExpression (node, left, right)
14476 @subsubheading Inheritance
14478 @image{inheritance-90c2fa10eaa69c1d04f9e49363a84936f163e47d,,,[graphviz],png}
14480 @subsubheading Members
14483 @geindex LeftOperand (pyGHDL.dom.Expression.MatchingLessThanExpression property)
14484 @anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression MatchingLessThanExpression LeftOperand}@anchor{58d}
14485 @deffn {Property} LeftOperand
14486 @end deffn
14488 @geindex Parent (pyGHDL.dom.Expression.MatchingLessThanExpression property)
14489 @anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression MatchingLessThanExpression Parent}@anchor{58e}
14490 @deffn {Property} Parent:  pyVHDLModel.ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
14492 Returns a reference to the parent entity.
14494 @*Return type: 
14495 ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
14497 @end deffn
14499 @geindex Position (pyGHDL.dom.Expression.MatchingLessThanExpression property)
14500 @anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression MatchingLessThanExpression Position}@anchor{58f}
14501 @deffn {Property} Position:  @ref{200,,pyGHDL.dom.Position}
14503 @*Return type: 
14504 @ref{200,,Position}
14506 @end deffn
14508 @geindex RightOperand (pyGHDL.dom.Expression.MatchingLessThanExpression property)
14509 @anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression MatchingLessThanExpression RightOperand}@anchor{590}
14510 @deffn {Property} RightOperand
14511 @end deffn
14513 @geindex _FORMAT (pyGHDL.dom.Expression.MatchingLessThanExpression attribute)
14514 @anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression MatchingLessThanExpression _FORMAT}@anchor{591}
14515 @deffn {Attribute} _FORMAT:  Tuple[str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str},  str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str},  str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}]  =  ('@w{'}, ' ?< ', '@w{'})
14516 @end deffn
14518 @geindex _position (pyGHDL.dom.Expression.MatchingLessThanExpression attribute)
14519 @anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression MatchingLessThanExpression _position}@anchor{592}
14520 @deffn {Attribute} _position:  @ref{200,,Position}  =  None
14521 @end deffn
14523 @geindex parse() (pyGHDL.dom.Expression.MatchingLessThanExpression class method)
14524 @anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression MatchingLessThanExpression parse}@anchor{593}
14525 @deffn {Method} classmethod  parse (node)
14527 @*Return type: 
14528 BinaryExpression@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.BinaryExpression}
14530 @end deffn
14532 @geindex _leftOperand (pyGHDL.dom.Expression.MatchingLessThanExpression attribute)
14533 @anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression MatchingLessThanExpression _leftOperand}@anchor{594}
14534 @deffn {Attribute} _leftOperand:  Union[BaseExpression,  @ref{203,,QualifiedExpression},  @ref{204,,FunctionCall},  @ref{205,,TypeConversion},  @ref{206,,Constant},  ConstantSymbol,  @ref{207,,Variable},  VariableSymbol,  @ref{208,,Signal},  SignalSymbol,  Literal]
14535 @end deffn
14537 @geindex _rightOperand (pyGHDL.dom.Expression.MatchingLessThanExpression attribute)
14538 @anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression MatchingLessThanExpression _rightOperand}@anchor{595}
14539 @deffn {Attribute} _rightOperand:  Union[BaseExpression,  @ref{203,,QualifiedExpression},  @ref{204,,FunctionCall},  @ref{205,,TypeConversion},  @ref{206,,Constant},  ConstantSymbol,  @ref{207,,Variable},  VariableSymbol,  @ref{208,,Signal},  SignalSymbol,  Literal]
14540 @end deffn
14542 @geindex _parent (pyGHDL.dom.Expression.MatchingLessThanExpression attribute)
14543 @anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression MatchingLessThanExpression _parent}@anchor{596}
14544 @deffn {Attribute} _parent:  ModelEntity
14546 Reference to a parent entity in the model.
14547 @end deffn
14549 @geindex _iirNode (pyGHDL.dom.Expression.MatchingLessThanExpression attribute)
14550 @anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression MatchingLessThanExpression _iirNode}@anchor{597}
14551 @deffn {Attribute} _iirNode:  Iir
14552 @end deffn
14553 @end deffn
14555 @geindex MatchingLessEqualExpression (class in pyGHDL.dom.Expression)
14556 @anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression MatchingLessEqualExpression}@anchor{43d}
14557 @deffn {Class} pyGHDL.dom.Expression.MatchingLessEqualExpression (node, left, right)
14559 @subsubheading Inheritance
14561 @image{inheritance-ca9114b0be2410a34ecc667c336290cb39a46690,,,[graphviz],png}
14563 @subsubheading Members
14566 @geindex LeftOperand (pyGHDL.dom.Expression.MatchingLessEqualExpression property)
14567 @anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression MatchingLessEqualExpression LeftOperand}@anchor{598}
14568 @deffn {Property} LeftOperand
14569 @end deffn
14571 @geindex Parent (pyGHDL.dom.Expression.MatchingLessEqualExpression property)
14572 @anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression MatchingLessEqualExpression Parent}@anchor{599}
14573 @deffn {Property} Parent:  pyVHDLModel.ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
14575 Returns a reference to the parent entity.
14577 @*Return type: 
14578 ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
14580 @end deffn
14582 @geindex Position (pyGHDL.dom.Expression.MatchingLessEqualExpression property)
14583 @anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression MatchingLessEqualExpression Position}@anchor{59a}
14584 @deffn {Property} Position:  @ref{200,,pyGHDL.dom.Position}
14586 @*Return type: 
14587 @ref{200,,Position}
14589 @end deffn
14591 @geindex RightOperand (pyGHDL.dom.Expression.MatchingLessEqualExpression property)
14592 @anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression MatchingLessEqualExpression RightOperand}@anchor{59b}
14593 @deffn {Property} RightOperand
14594 @end deffn
14596 @geindex _FORMAT (pyGHDL.dom.Expression.MatchingLessEqualExpression attribute)
14597 @anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression MatchingLessEqualExpression _FORMAT}@anchor{59c}
14598 @deffn {Attribute} _FORMAT:  Tuple[str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str},  str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str},  str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}]  =  ('@w{'}, ' ?<= ', '@w{'})
14599 @end deffn
14601 @geindex _position (pyGHDL.dom.Expression.MatchingLessEqualExpression attribute)
14602 @anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression MatchingLessEqualExpression _position}@anchor{59d}
14603 @deffn {Attribute} _position:  @ref{200,,Position}  =  None
14604 @end deffn
14606 @geindex parse() (pyGHDL.dom.Expression.MatchingLessEqualExpression class method)
14607 @anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression MatchingLessEqualExpression parse}@anchor{59e}
14608 @deffn {Method} classmethod  parse (node)
14610 @*Return type: 
14611 BinaryExpression@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.BinaryExpression}
14613 @end deffn
14615 @geindex _leftOperand (pyGHDL.dom.Expression.MatchingLessEqualExpression attribute)
14616 @anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression MatchingLessEqualExpression _leftOperand}@anchor{59f}
14617 @deffn {Attribute} _leftOperand:  Union[BaseExpression,  @ref{203,,QualifiedExpression},  @ref{204,,FunctionCall},  @ref{205,,TypeConversion},  @ref{206,,Constant},  ConstantSymbol,  @ref{207,,Variable},  VariableSymbol,  @ref{208,,Signal},  SignalSymbol,  Literal]
14618 @end deffn
14620 @geindex _rightOperand (pyGHDL.dom.Expression.MatchingLessEqualExpression attribute)
14621 @anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression MatchingLessEqualExpression _rightOperand}@anchor{5a0}
14622 @deffn {Attribute} _rightOperand:  Union[BaseExpression,  @ref{203,,QualifiedExpression},  @ref{204,,FunctionCall},  @ref{205,,TypeConversion},  @ref{206,,Constant},  ConstantSymbol,  @ref{207,,Variable},  VariableSymbol,  @ref{208,,Signal},  SignalSymbol,  Literal]
14623 @end deffn
14625 @geindex _parent (pyGHDL.dom.Expression.MatchingLessEqualExpression attribute)
14626 @anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression MatchingLessEqualExpression _parent}@anchor{5a1}
14627 @deffn {Attribute} _parent:  ModelEntity
14629 Reference to a parent entity in the model.
14630 @end deffn
14632 @geindex _iirNode (pyGHDL.dom.Expression.MatchingLessEqualExpression attribute)
14633 @anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression MatchingLessEqualExpression _iirNode}@anchor{5a2}
14634 @deffn {Attribute} _iirNode:  Iir
14635 @end deffn
14636 @end deffn
14638 @geindex MatchingGreaterThanExpression (class in pyGHDL.dom.Expression)
14639 @anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression MatchingGreaterThanExpression}@anchor{43e}
14640 @deffn {Class} pyGHDL.dom.Expression.MatchingGreaterThanExpression (node, left, right)
14642 @subsubheading Inheritance
14644 @image{inheritance-d9ed65079f26d480dba445b3624d01ad4796c570,,,[graphviz],png}
14646 @subsubheading Members
14649 @geindex LeftOperand (pyGHDL.dom.Expression.MatchingGreaterThanExpression property)
14650 @anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression MatchingGreaterThanExpression LeftOperand}@anchor{5a3}
14651 @deffn {Property} LeftOperand
14652 @end deffn
14654 @geindex Parent (pyGHDL.dom.Expression.MatchingGreaterThanExpression property)
14655 @anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression MatchingGreaterThanExpression Parent}@anchor{5a4}
14656 @deffn {Property} Parent:  pyVHDLModel.ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
14658 Returns a reference to the parent entity.
14660 @*Return type: 
14661 ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
14663 @end deffn
14665 @geindex Position (pyGHDL.dom.Expression.MatchingGreaterThanExpression property)
14666 @anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression MatchingGreaterThanExpression Position}@anchor{5a5}
14667 @deffn {Property} Position:  @ref{200,,pyGHDL.dom.Position}
14669 @*Return type: 
14670 @ref{200,,Position}
14672 @end deffn
14674 @geindex RightOperand (pyGHDL.dom.Expression.MatchingGreaterThanExpression property)
14675 @anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression MatchingGreaterThanExpression RightOperand}@anchor{5a6}
14676 @deffn {Property} RightOperand
14677 @end deffn
14679 @geindex _FORMAT (pyGHDL.dom.Expression.MatchingGreaterThanExpression attribute)
14680 @anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression MatchingGreaterThanExpression _FORMAT}@anchor{5a7}
14681 @deffn {Attribute} _FORMAT:  Tuple[str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str},  str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str},  str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}]  =  ('@w{'}, ' ?> ', '@w{'})
14682 @end deffn
14684 @geindex _position (pyGHDL.dom.Expression.MatchingGreaterThanExpression attribute)
14685 @anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression MatchingGreaterThanExpression _position}@anchor{5a8}
14686 @deffn {Attribute} _position:  @ref{200,,Position}  =  None
14687 @end deffn
14689 @geindex parse() (pyGHDL.dom.Expression.MatchingGreaterThanExpression class method)
14690 @anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression MatchingGreaterThanExpression parse}@anchor{5a9}
14691 @deffn {Method} classmethod  parse (node)
14693 @*Return type: 
14694 BinaryExpression@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.BinaryExpression}
14696 @end deffn
14698 @geindex _leftOperand (pyGHDL.dom.Expression.MatchingGreaterThanExpression attribute)
14699 @anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression MatchingGreaterThanExpression _leftOperand}@anchor{5aa}
14700 @deffn {Attribute} _leftOperand:  Union[BaseExpression,  @ref{203,,QualifiedExpression},  @ref{204,,FunctionCall},  @ref{205,,TypeConversion},  @ref{206,,Constant},  ConstantSymbol,  @ref{207,,Variable},  VariableSymbol,  @ref{208,,Signal},  SignalSymbol,  Literal]
14701 @end deffn
14703 @geindex _rightOperand (pyGHDL.dom.Expression.MatchingGreaterThanExpression attribute)
14704 @anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression MatchingGreaterThanExpression _rightOperand}@anchor{5ab}
14705 @deffn {Attribute} _rightOperand:  Union[BaseExpression,  @ref{203,,QualifiedExpression},  @ref{204,,FunctionCall},  @ref{205,,TypeConversion},  @ref{206,,Constant},  ConstantSymbol,  @ref{207,,Variable},  VariableSymbol,  @ref{208,,Signal},  SignalSymbol,  Literal]
14706 @end deffn
14708 @geindex _parent (pyGHDL.dom.Expression.MatchingGreaterThanExpression attribute)
14709 @anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression MatchingGreaterThanExpression _parent}@anchor{5ac}
14710 @deffn {Attribute} _parent:  ModelEntity
14712 Reference to a parent entity in the model.
14713 @end deffn
14715 @geindex _iirNode (pyGHDL.dom.Expression.MatchingGreaterThanExpression attribute)
14716 @anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression MatchingGreaterThanExpression _iirNode}@anchor{5ad}
14717 @deffn {Attribute} _iirNode:  Iir
14718 @end deffn
14719 @end deffn
14721 @geindex MatchingGreaterEqualExpression (class in pyGHDL.dom.Expression)
14722 @anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression MatchingGreaterEqualExpression}@anchor{43f}
14723 @deffn {Class} pyGHDL.dom.Expression.MatchingGreaterEqualExpression (node, left, right)
14725 @subsubheading Inheritance
14727 @image{inheritance-d6a4a2e5acba7acefad74ba48b8b80cdfeb5bbd3,,,[graphviz],png}
14729 @subsubheading Members
14732 @geindex LeftOperand (pyGHDL.dom.Expression.MatchingGreaterEqualExpression property)
14733 @anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression MatchingGreaterEqualExpression LeftOperand}@anchor{5ae}
14734 @deffn {Property} LeftOperand
14735 @end deffn
14737 @geindex Parent (pyGHDL.dom.Expression.MatchingGreaterEqualExpression property)
14738 @anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression MatchingGreaterEqualExpression Parent}@anchor{5af}
14739 @deffn {Property} Parent:  pyVHDLModel.ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
14741 Returns a reference to the parent entity.
14743 @*Return type: 
14744 ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
14746 @end deffn
14748 @geindex Position (pyGHDL.dom.Expression.MatchingGreaterEqualExpression property)
14749 @anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression MatchingGreaterEqualExpression Position}@anchor{5b0}
14750 @deffn {Property} Position:  @ref{200,,pyGHDL.dom.Position}
14752 @*Return type: 
14753 @ref{200,,Position}
14755 @end deffn
14757 @geindex RightOperand (pyGHDL.dom.Expression.MatchingGreaterEqualExpression property)
14758 @anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression MatchingGreaterEqualExpression RightOperand}@anchor{5b1}
14759 @deffn {Property} RightOperand
14760 @end deffn
14762 @geindex _FORMAT (pyGHDL.dom.Expression.MatchingGreaterEqualExpression attribute)
14763 @anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression MatchingGreaterEqualExpression _FORMAT}@anchor{5b2}
14764 @deffn {Attribute} _FORMAT:  Tuple[str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str},  str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str},  str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}]  =  ('@w{'}, ' ?>= ', '@w{'})
14765 @end deffn
14767 @geindex _position (pyGHDL.dom.Expression.MatchingGreaterEqualExpression attribute)
14768 @anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression MatchingGreaterEqualExpression _position}@anchor{5b3}
14769 @deffn {Attribute} _position:  @ref{200,,Position}  =  None
14770 @end deffn
14772 @geindex parse() (pyGHDL.dom.Expression.MatchingGreaterEqualExpression class method)
14773 @anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression MatchingGreaterEqualExpression parse}@anchor{5b4}
14774 @deffn {Method} classmethod  parse (node)
14776 @*Return type: 
14777 BinaryExpression@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.BinaryExpression}
14779 @end deffn
14781 @geindex _leftOperand (pyGHDL.dom.Expression.MatchingGreaterEqualExpression attribute)
14782 @anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression MatchingGreaterEqualExpression _leftOperand}@anchor{5b5}
14783 @deffn {Attribute} _leftOperand:  Union[BaseExpression,  @ref{203,,QualifiedExpression},  @ref{204,,FunctionCall},  @ref{205,,TypeConversion},  @ref{206,,Constant},  ConstantSymbol,  @ref{207,,Variable},  VariableSymbol,  @ref{208,,Signal},  SignalSymbol,  Literal]
14784 @end deffn
14786 @geindex _rightOperand (pyGHDL.dom.Expression.MatchingGreaterEqualExpression attribute)
14787 @anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression MatchingGreaterEqualExpression _rightOperand}@anchor{5b6}
14788 @deffn {Attribute} _rightOperand:  Union[BaseExpression,  @ref{203,,QualifiedExpression},  @ref{204,,FunctionCall},  @ref{205,,TypeConversion},  @ref{206,,Constant},  ConstantSymbol,  @ref{207,,Variable},  VariableSymbol,  @ref{208,,Signal},  SignalSymbol,  Literal]
14789 @end deffn
14791 @geindex _parent (pyGHDL.dom.Expression.MatchingGreaterEqualExpression attribute)
14792 @anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression MatchingGreaterEqualExpression _parent}@anchor{5b7}
14793 @deffn {Attribute} _parent:  ModelEntity
14795 Reference to a parent entity in the model.
14796 @end deffn
14798 @geindex _iirNode (pyGHDL.dom.Expression.MatchingGreaterEqualExpression attribute)
14799 @anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression MatchingGreaterEqualExpression _iirNode}@anchor{5b8}
14800 @deffn {Attribute} _iirNode:  Iir
14801 @end deffn
14802 @end deffn
14804 @geindex ShiftRightLogicExpression (class in pyGHDL.dom.Expression)
14805 @anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression ShiftRightLogicExpression}@anchor{440}
14806 @deffn {Class} pyGHDL.dom.Expression.ShiftRightLogicExpression (node, left, right)
14808 @subsubheading Inheritance
14810 @image{inheritance-b414bca7e9af16aa1e5d7e0c545e08b5821ccb2e,,,[graphviz],png}
14812 @subsubheading Members
14815 @geindex LeftOperand (pyGHDL.dom.Expression.ShiftRightLogicExpression property)
14816 @anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression ShiftRightLogicExpression LeftOperand}@anchor{5b9}
14817 @deffn {Property} LeftOperand
14818 @end deffn
14820 @geindex Parent (pyGHDL.dom.Expression.ShiftRightLogicExpression property)
14821 @anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression ShiftRightLogicExpression Parent}@anchor{5ba}
14822 @deffn {Property} Parent:  pyVHDLModel.ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
14824 Returns a reference to the parent entity.
14826 @*Return type: 
14827 ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
14829 @end deffn
14831 @geindex Position (pyGHDL.dom.Expression.ShiftRightLogicExpression property)
14832 @anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression ShiftRightLogicExpression Position}@anchor{5bb}
14833 @deffn {Property} Position:  @ref{200,,pyGHDL.dom.Position}
14835 @*Return type: 
14836 @ref{200,,Position}
14838 @end deffn
14840 @geindex RightOperand (pyGHDL.dom.Expression.ShiftRightLogicExpression property)
14841 @anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression ShiftRightLogicExpression RightOperand}@anchor{5bc}
14842 @deffn {Property} RightOperand
14843 @end deffn
14845 @geindex _FORMAT (pyGHDL.dom.Expression.ShiftRightLogicExpression attribute)
14846 @anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression ShiftRightLogicExpression _FORMAT}@anchor{5bd}
14847 @deffn {Attribute} _FORMAT:  Tuple[str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str},  str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str},  str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}]  =  ('@w{'}, ' srl ', '@w{'})
14848 @end deffn
14850 @geindex _position (pyGHDL.dom.Expression.ShiftRightLogicExpression attribute)
14851 @anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression ShiftRightLogicExpression _position}@anchor{5be}
14852 @deffn {Attribute} _position:  @ref{200,,Position}  =  None
14853 @end deffn
14855 @geindex parse() (pyGHDL.dom.Expression.ShiftRightLogicExpression class method)
14856 @anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression ShiftRightLogicExpression parse}@anchor{5bf}
14857 @deffn {Method} classmethod  parse (node)
14859 @*Return type: 
14860 BinaryExpression@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.BinaryExpression}
14862 @end deffn
14864 @geindex _leftOperand (pyGHDL.dom.Expression.ShiftRightLogicExpression attribute)
14865 @anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression ShiftRightLogicExpression _leftOperand}@anchor{5c0}
14866 @deffn {Attribute} _leftOperand:  Union[BaseExpression,  @ref{203,,QualifiedExpression},  @ref{204,,FunctionCall},  @ref{205,,TypeConversion},  @ref{206,,Constant},  ConstantSymbol,  @ref{207,,Variable},  VariableSymbol,  @ref{208,,Signal},  SignalSymbol,  Literal]
14867 @end deffn
14869 @geindex _rightOperand (pyGHDL.dom.Expression.ShiftRightLogicExpression attribute)
14870 @anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression ShiftRightLogicExpression _rightOperand}@anchor{5c1}
14871 @deffn {Attribute} _rightOperand:  Union[BaseExpression,  @ref{203,,QualifiedExpression},  @ref{204,,FunctionCall},  @ref{205,,TypeConversion},  @ref{206,,Constant},  ConstantSymbol,  @ref{207,,Variable},  VariableSymbol,  @ref{208,,Signal},  SignalSymbol,  Literal]
14872 @end deffn
14874 @geindex _parent (pyGHDL.dom.Expression.ShiftRightLogicExpression attribute)
14875 @anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression ShiftRightLogicExpression _parent}@anchor{5c2}
14876 @deffn {Attribute} _parent:  ModelEntity
14878 Reference to a parent entity in the model.
14879 @end deffn
14881 @geindex _iirNode (pyGHDL.dom.Expression.ShiftRightLogicExpression attribute)
14882 @anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression ShiftRightLogicExpression _iirNode}@anchor{5c3}
14883 @deffn {Attribute} _iirNode:  Iir
14884 @end deffn
14885 @end deffn
14887 @geindex ShiftLeftLogicExpression (class in pyGHDL.dom.Expression)
14888 @anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression ShiftLeftLogicExpression}@anchor{441}
14889 @deffn {Class} pyGHDL.dom.Expression.ShiftLeftLogicExpression (node, left, right)
14891 @subsubheading Inheritance
14893 @image{inheritance-0acf4d5620eb9e39f42831ce4dbca0df43200c04,,,[graphviz],png}
14895 @subsubheading Members
14898 @geindex LeftOperand (pyGHDL.dom.Expression.ShiftLeftLogicExpression property)
14899 @anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression ShiftLeftLogicExpression LeftOperand}@anchor{5c4}
14900 @deffn {Property} LeftOperand
14901 @end deffn
14903 @geindex Parent (pyGHDL.dom.Expression.ShiftLeftLogicExpression property)
14904 @anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression ShiftLeftLogicExpression Parent}@anchor{5c5}
14905 @deffn {Property} Parent:  pyVHDLModel.ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
14907 Returns a reference to the parent entity.
14909 @*Return type: 
14910 ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
14912 @end deffn
14914 @geindex Position (pyGHDL.dom.Expression.ShiftLeftLogicExpression property)
14915 @anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression ShiftLeftLogicExpression Position}@anchor{5c6}
14916 @deffn {Property} Position:  @ref{200,,pyGHDL.dom.Position}
14918 @*Return type: 
14919 @ref{200,,Position}
14921 @end deffn
14923 @geindex RightOperand (pyGHDL.dom.Expression.ShiftLeftLogicExpression property)
14924 @anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression ShiftLeftLogicExpression RightOperand}@anchor{5c7}
14925 @deffn {Property} RightOperand
14926 @end deffn
14928 @geindex _FORMAT (pyGHDL.dom.Expression.ShiftLeftLogicExpression attribute)
14929 @anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression ShiftLeftLogicExpression _FORMAT}@anchor{5c8}
14930 @deffn {Attribute} _FORMAT:  Tuple[str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str},  str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str},  str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}]  =  ('@w{'}, ' sll ', '@w{'})
14931 @end deffn
14933 @geindex _position (pyGHDL.dom.Expression.ShiftLeftLogicExpression attribute)
14934 @anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression ShiftLeftLogicExpression _position}@anchor{5c9}
14935 @deffn {Attribute} _position:  @ref{200,,Position}  =  None
14936 @end deffn
14938 @geindex parse() (pyGHDL.dom.Expression.ShiftLeftLogicExpression class method)
14939 @anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression ShiftLeftLogicExpression parse}@anchor{5ca}
14940 @deffn {Method} classmethod  parse (node)
14942 @*Return type: 
14943 BinaryExpression@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.BinaryExpression}
14945 @end deffn
14947 @geindex _leftOperand (pyGHDL.dom.Expression.ShiftLeftLogicExpression attribute)
14948 @anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression ShiftLeftLogicExpression _leftOperand}@anchor{5cb}
14949 @deffn {Attribute} _leftOperand:  Union[BaseExpression,  @ref{203,,QualifiedExpression},  @ref{204,,FunctionCall},  @ref{205,,TypeConversion},  @ref{206,,Constant},  ConstantSymbol,  @ref{207,,Variable},  VariableSymbol,  @ref{208,,Signal},  SignalSymbol,  Literal]
14950 @end deffn
14952 @geindex _rightOperand (pyGHDL.dom.Expression.ShiftLeftLogicExpression attribute)
14953 @anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression ShiftLeftLogicExpression _rightOperand}@anchor{5cc}
14954 @deffn {Attribute} _rightOperand:  Union[BaseExpression,  @ref{203,,QualifiedExpression},  @ref{204,,FunctionCall},  @ref{205,,TypeConversion},  @ref{206,,Constant},  ConstantSymbol,  @ref{207,,Variable},  VariableSymbol,  @ref{208,,Signal},  SignalSymbol,  Literal]
14955 @end deffn
14957 @geindex _parent (pyGHDL.dom.Expression.ShiftLeftLogicExpression attribute)
14958 @anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression ShiftLeftLogicExpression _parent}@anchor{5cd}
14959 @deffn {Attribute} _parent:  ModelEntity
14961 Reference to a parent entity in the model.
14962 @end deffn
14964 @geindex _iirNode (pyGHDL.dom.Expression.ShiftLeftLogicExpression attribute)
14965 @anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression ShiftLeftLogicExpression _iirNode}@anchor{5ce}
14966 @deffn {Attribute} _iirNode:  Iir
14967 @end deffn
14968 @end deffn
14970 @geindex ShiftRightArithmeticExpression (class in pyGHDL.dom.Expression)
14971 @anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression ShiftRightArithmeticExpression}@anchor{442}
14972 @deffn {Class} pyGHDL.dom.Expression.ShiftRightArithmeticExpression (node, left, right)
14974 @subsubheading Inheritance
14976 @image{inheritance-7dab8f22f3dd592641292b89002df491f880aa6f,,,[graphviz],png}
14978 @subsubheading Members
14981 @geindex LeftOperand (pyGHDL.dom.Expression.ShiftRightArithmeticExpression property)
14982 @anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression ShiftRightArithmeticExpression LeftOperand}@anchor{5cf}
14983 @deffn {Property} LeftOperand
14984 @end deffn
14986 @geindex Parent (pyGHDL.dom.Expression.ShiftRightArithmeticExpression property)
14987 @anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression ShiftRightArithmeticExpression Parent}@anchor{5d0}
14988 @deffn {Property} Parent:  pyVHDLModel.ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
14990 Returns a reference to the parent entity.
14992 @*Return type: 
14993 ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
14995 @end deffn
14997 @geindex Position (pyGHDL.dom.Expression.ShiftRightArithmeticExpression property)
14998 @anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression ShiftRightArithmeticExpression Position}@anchor{5d1}
14999 @deffn {Property} Position:  @ref{200,,pyGHDL.dom.Position}
15001 @*Return type: 
15002 @ref{200,,Position}
15004 @end deffn
15006 @geindex RightOperand (pyGHDL.dom.Expression.ShiftRightArithmeticExpression property)
15007 @anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression ShiftRightArithmeticExpression RightOperand}@anchor{5d2}
15008 @deffn {Property} RightOperand
15009 @end deffn
15011 @geindex _FORMAT (pyGHDL.dom.Expression.ShiftRightArithmeticExpression attribute)
15012 @anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression ShiftRightArithmeticExpression _FORMAT}@anchor{5d3}
15013 @deffn {Attribute} _FORMAT:  Tuple[str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str},  str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str},  str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}]  =  ('@w{'}, ' sra ', '@w{'})
15014 @end deffn
15016 @geindex _position (pyGHDL.dom.Expression.ShiftRightArithmeticExpression attribute)
15017 @anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression ShiftRightArithmeticExpression _position}@anchor{5d4}
15018 @deffn {Attribute} _position:  @ref{200,,Position}  =  None
15019 @end deffn
15021 @geindex parse() (pyGHDL.dom.Expression.ShiftRightArithmeticExpression class method)
15022 @anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression ShiftRightArithmeticExpression parse}@anchor{5d5}
15023 @deffn {Method} classmethod  parse (node)
15025 @*Return type: 
15026 BinaryExpression@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.BinaryExpression}
15028 @end deffn
15030 @geindex _leftOperand (pyGHDL.dom.Expression.ShiftRightArithmeticExpression attribute)
15031 @anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression ShiftRightArithmeticExpression _leftOperand}@anchor{5d6}
15032 @deffn {Attribute} _leftOperand:  Union[BaseExpression,  @ref{203,,QualifiedExpression},  @ref{204,,FunctionCall},  @ref{205,,TypeConversion},  @ref{206,,Constant},  ConstantSymbol,  @ref{207,,Variable},  VariableSymbol,  @ref{208,,Signal},  SignalSymbol,  Literal]
15033 @end deffn
15035 @geindex _rightOperand (pyGHDL.dom.Expression.ShiftRightArithmeticExpression attribute)
15036 @anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression ShiftRightArithmeticExpression _rightOperand}@anchor{5d7}
15037 @deffn {Attribute} _rightOperand:  Union[BaseExpression,  @ref{203,,QualifiedExpression},  @ref{204,,FunctionCall},  @ref{205,,TypeConversion},  @ref{206,,Constant},  ConstantSymbol,  @ref{207,,Variable},  VariableSymbol,  @ref{208,,Signal},  SignalSymbol,  Literal]
15038 @end deffn
15040 @geindex _parent (pyGHDL.dom.Expression.ShiftRightArithmeticExpression attribute)
15041 @anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression ShiftRightArithmeticExpression _parent}@anchor{5d8}
15042 @deffn {Attribute} _parent:  ModelEntity
15044 Reference to a parent entity in the model.
15045 @end deffn
15047 @geindex _iirNode (pyGHDL.dom.Expression.ShiftRightArithmeticExpression attribute)
15048 @anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression ShiftRightArithmeticExpression _iirNode}@anchor{5d9}
15049 @deffn {Attribute} _iirNode:  Iir
15050 @end deffn
15051 @end deffn
15053 @geindex ShiftLeftArithmeticExpression (class in pyGHDL.dom.Expression)
15054 @anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression ShiftLeftArithmeticExpression}@anchor{443}
15055 @deffn {Class} pyGHDL.dom.Expression.ShiftLeftArithmeticExpression (node, left, right)
15057 @subsubheading Inheritance
15059 @image{inheritance-80377618268f855051fc5ae5f006bd65a591797d,,,[graphviz],png}
15061 @subsubheading Members
15064 @geindex LeftOperand (pyGHDL.dom.Expression.ShiftLeftArithmeticExpression property)
15065 @anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression ShiftLeftArithmeticExpression LeftOperand}@anchor{5da}
15066 @deffn {Property} LeftOperand
15067 @end deffn
15069 @geindex Parent (pyGHDL.dom.Expression.ShiftLeftArithmeticExpression property)
15070 @anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression ShiftLeftArithmeticExpression Parent}@anchor{5db}
15071 @deffn {Property} Parent:  pyVHDLModel.ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
15073 Returns a reference to the parent entity.
15075 @*Return type: 
15076 ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
15078 @end deffn
15080 @geindex Position (pyGHDL.dom.Expression.ShiftLeftArithmeticExpression property)
15081 @anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression ShiftLeftArithmeticExpression Position}@anchor{5dc}
15082 @deffn {Property} Position:  @ref{200,,pyGHDL.dom.Position}
15084 @*Return type: 
15085 @ref{200,,Position}
15087 @end deffn
15089 @geindex RightOperand (pyGHDL.dom.Expression.ShiftLeftArithmeticExpression property)
15090 @anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression ShiftLeftArithmeticExpression RightOperand}@anchor{5dd}
15091 @deffn {Property} RightOperand
15092 @end deffn
15094 @geindex _FORMAT (pyGHDL.dom.Expression.ShiftLeftArithmeticExpression attribute)
15095 @anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression ShiftLeftArithmeticExpression _FORMAT}@anchor{5de}
15096 @deffn {Attribute} _FORMAT:  Tuple[str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str},  str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str},  str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}]  =  ('@w{'}, ' sla ', '@w{'})
15097 @end deffn
15099 @geindex _position (pyGHDL.dom.Expression.ShiftLeftArithmeticExpression attribute)
15100 @anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression ShiftLeftArithmeticExpression _position}@anchor{5df}
15101 @deffn {Attribute} _position:  @ref{200,,Position}  =  None
15102 @end deffn
15104 @geindex parse() (pyGHDL.dom.Expression.ShiftLeftArithmeticExpression class method)
15105 @anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression ShiftLeftArithmeticExpression parse}@anchor{5e0}
15106 @deffn {Method} classmethod  parse (node)
15108 @*Return type: 
15109 BinaryExpression@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.BinaryExpression}
15111 @end deffn
15113 @geindex _leftOperand (pyGHDL.dom.Expression.ShiftLeftArithmeticExpression attribute)
15114 @anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression ShiftLeftArithmeticExpression _leftOperand}@anchor{5e1}
15115 @deffn {Attribute} _leftOperand:  Union[BaseExpression,  @ref{203,,QualifiedExpression},  @ref{204,,FunctionCall},  @ref{205,,TypeConversion},  @ref{206,,Constant},  ConstantSymbol,  @ref{207,,Variable},  VariableSymbol,  @ref{208,,Signal},  SignalSymbol,  Literal]
15116 @end deffn
15118 @geindex _rightOperand (pyGHDL.dom.Expression.ShiftLeftArithmeticExpression attribute)
15119 @anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression ShiftLeftArithmeticExpression _rightOperand}@anchor{5e2}
15120 @deffn {Attribute} _rightOperand:  Union[BaseExpression,  @ref{203,,QualifiedExpression},  @ref{204,,FunctionCall},  @ref{205,,TypeConversion},  @ref{206,,Constant},  ConstantSymbol,  @ref{207,,Variable},  VariableSymbol,  @ref{208,,Signal},  SignalSymbol,  Literal]
15121 @end deffn
15123 @geindex _parent (pyGHDL.dom.Expression.ShiftLeftArithmeticExpression attribute)
15124 @anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression ShiftLeftArithmeticExpression _parent}@anchor{5e3}
15125 @deffn {Attribute} _parent:  ModelEntity
15127 Reference to a parent entity in the model.
15128 @end deffn
15130 @geindex _iirNode (pyGHDL.dom.Expression.ShiftLeftArithmeticExpression attribute)
15131 @anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression ShiftLeftArithmeticExpression _iirNode}@anchor{5e4}
15132 @deffn {Attribute} _iirNode:  Iir
15133 @end deffn
15134 @end deffn
15136 @geindex RotateRightExpression (class in pyGHDL.dom.Expression)
15137 @anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression RotateRightExpression}@anchor{444}
15138 @deffn {Class} pyGHDL.dom.Expression.RotateRightExpression (node, left, right)
15140 @subsubheading Inheritance
15142 @image{inheritance-5dd69daac1abeaf33fa3019f21c52962056e5d5d,,,[graphviz],png}
15144 @subsubheading Members
15147 @geindex LeftOperand (pyGHDL.dom.Expression.RotateRightExpression property)
15148 @anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression RotateRightExpression LeftOperand}@anchor{5e5}
15149 @deffn {Property} LeftOperand
15150 @end deffn
15152 @geindex Parent (pyGHDL.dom.Expression.RotateRightExpression property)
15153 @anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression RotateRightExpression Parent}@anchor{5e6}
15154 @deffn {Property} Parent:  pyVHDLModel.ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
15156 Returns a reference to the parent entity.
15158 @*Return type: 
15159 ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
15161 @end deffn
15163 @geindex Position (pyGHDL.dom.Expression.RotateRightExpression property)
15164 @anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression RotateRightExpression Position}@anchor{5e7}
15165 @deffn {Property} Position:  @ref{200,,pyGHDL.dom.Position}
15167 @*Return type: 
15168 @ref{200,,Position}
15170 @end deffn
15172 @geindex RightOperand (pyGHDL.dom.Expression.RotateRightExpression property)
15173 @anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression RotateRightExpression RightOperand}@anchor{5e8}
15174 @deffn {Property} RightOperand
15175 @end deffn
15177 @geindex _FORMAT (pyGHDL.dom.Expression.RotateRightExpression attribute)
15178 @anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression RotateRightExpression _FORMAT}@anchor{5e9}
15179 @deffn {Attribute} _FORMAT:  Tuple[str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str},  str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str},  str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}]  =  ('@w{'}, ' ror ', '@w{'})
15180 @end deffn
15182 @geindex _position (pyGHDL.dom.Expression.RotateRightExpression attribute)
15183 @anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression RotateRightExpression _position}@anchor{5ea}
15184 @deffn {Attribute} _position:  @ref{200,,Position}  =  None
15185 @end deffn
15187 @geindex parse() (pyGHDL.dom.Expression.RotateRightExpression class method)
15188 @anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression RotateRightExpression parse}@anchor{5eb}
15189 @deffn {Method} classmethod  parse (node)
15191 @*Return type: 
15192 BinaryExpression@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.BinaryExpression}
15194 @end deffn
15196 @geindex _leftOperand (pyGHDL.dom.Expression.RotateRightExpression attribute)
15197 @anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression RotateRightExpression _leftOperand}@anchor{5ec}
15198 @deffn {Attribute} _leftOperand:  Union[BaseExpression,  @ref{203,,QualifiedExpression},  @ref{204,,FunctionCall},  @ref{205,,TypeConversion},  @ref{206,,Constant},  ConstantSymbol,  @ref{207,,Variable},  VariableSymbol,  @ref{208,,Signal},  SignalSymbol,  Literal]
15199 @end deffn
15201 @geindex _rightOperand (pyGHDL.dom.Expression.RotateRightExpression attribute)
15202 @anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression RotateRightExpression _rightOperand}@anchor{5ed}
15203 @deffn {Attribute} _rightOperand:  Union[BaseExpression,  @ref{203,,QualifiedExpression},  @ref{204,,FunctionCall},  @ref{205,,TypeConversion},  @ref{206,,Constant},  ConstantSymbol,  @ref{207,,Variable},  VariableSymbol,  @ref{208,,Signal},  SignalSymbol,  Literal]
15204 @end deffn
15206 @geindex _parent (pyGHDL.dom.Expression.RotateRightExpression attribute)
15207 @anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression RotateRightExpression _parent}@anchor{5ee}
15208 @deffn {Attribute} _parent:  ModelEntity
15210 Reference to a parent entity in the model.
15211 @end deffn
15213 @geindex _iirNode (pyGHDL.dom.Expression.RotateRightExpression attribute)
15214 @anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression RotateRightExpression _iirNode}@anchor{5ef}
15215 @deffn {Attribute} _iirNode:  Iir
15216 @end deffn
15217 @end deffn
15219 @geindex RotateLeftExpression (class in pyGHDL.dom.Expression)
15220 @anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression RotateLeftExpression}@anchor{445}
15221 @deffn {Class} pyGHDL.dom.Expression.RotateLeftExpression (node, left, right)
15223 @subsubheading Inheritance
15225 @image{inheritance-01924ccb8dddb211422514a225c50f64cccb55b9,,,[graphviz],png}
15227 @subsubheading Members
15230 @geindex LeftOperand (pyGHDL.dom.Expression.RotateLeftExpression property)
15231 @anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression RotateLeftExpression LeftOperand}@anchor{5f0}
15232 @deffn {Property} LeftOperand
15233 @end deffn
15235 @geindex Parent (pyGHDL.dom.Expression.RotateLeftExpression property)
15236 @anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression RotateLeftExpression Parent}@anchor{5f1}
15237 @deffn {Property} Parent:  pyVHDLModel.ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
15239 Returns a reference to the parent entity.
15241 @*Return type: 
15242 ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
15244 @end deffn
15246 @geindex Position (pyGHDL.dom.Expression.RotateLeftExpression property)
15247 @anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression RotateLeftExpression Position}@anchor{5f2}
15248 @deffn {Property} Position:  @ref{200,,pyGHDL.dom.Position}
15250 @*Return type: 
15251 @ref{200,,Position}
15253 @end deffn
15255 @geindex RightOperand (pyGHDL.dom.Expression.RotateLeftExpression property)
15256 @anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression RotateLeftExpression RightOperand}@anchor{5f3}
15257 @deffn {Property} RightOperand
15258 @end deffn
15260 @geindex _FORMAT (pyGHDL.dom.Expression.RotateLeftExpression attribute)
15261 @anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression RotateLeftExpression _FORMAT}@anchor{5f4}
15262 @deffn {Attribute} _FORMAT:  Tuple[str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str},  str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str},  str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}]  =  ('@w{'}, ' rol ', '@w{'})
15263 @end deffn
15265 @geindex _position (pyGHDL.dom.Expression.RotateLeftExpression attribute)
15266 @anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression RotateLeftExpression _position}@anchor{5f5}
15267 @deffn {Attribute} _position:  @ref{200,,Position}  =  None
15268 @end deffn
15270 @geindex parse() (pyGHDL.dom.Expression.RotateLeftExpression class method)
15271 @anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression RotateLeftExpression parse}@anchor{5f6}
15272 @deffn {Method} classmethod  parse (node)
15274 @*Return type: 
15275 BinaryExpression@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.BinaryExpression}
15277 @end deffn
15279 @geindex _leftOperand (pyGHDL.dom.Expression.RotateLeftExpression attribute)
15280 @anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression RotateLeftExpression _leftOperand}@anchor{5f7}
15281 @deffn {Attribute} _leftOperand:  Union[BaseExpression,  @ref{203,,QualifiedExpression},  @ref{204,,FunctionCall},  @ref{205,,TypeConversion},  @ref{206,,Constant},  ConstantSymbol,  @ref{207,,Variable},  VariableSymbol,  @ref{208,,Signal},  SignalSymbol,  Literal]
15282 @end deffn
15284 @geindex _rightOperand (pyGHDL.dom.Expression.RotateLeftExpression attribute)
15285 @anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression RotateLeftExpression _rightOperand}@anchor{5f8}
15286 @deffn {Attribute} _rightOperand:  Union[BaseExpression,  @ref{203,,QualifiedExpression},  @ref{204,,FunctionCall},  @ref{205,,TypeConversion},  @ref{206,,Constant},  ConstantSymbol,  @ref{207,,Variable},  VariableSymbol,  @ref{208,,Signal},  SignalSymbol,  Literal]
15287 @end deffn
15289 @geindex _parent (pyGHDL.dom.Expression.RotateLeftExpression attribute)
15290 @anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression RotateLeftExpression _parent}@anchor{5f9}
15291 @deffn {Attribute} _parent:  ModelEntity
15293 Reference to a parent entity in the model.
15294 @end deffn
15296 @geindex _iirNode (pyGHDL.dom.Expression.RotateLeftExpression attribute)
15297 @anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression RotateLeftExpression _iirNode}@anchor{5fa}
15298 @deffn {Attribute} _iirNode:  Iir
15299 @end deffn
15300 @end deffn
15302 @geindex QualifiedExpression (class in pyGHDL.dom.Expression)
15303 @anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression QualifiedExpression}@anchor{203}
15304 @deffn {Class} pyGHDL.dom.Expression.QualifiedExpression (node, subtype, operand)
15306 @subsubheading Inheritance
15308 @image{inheritance-21f3177de36c5d841a3306a849461b5341ef8267,,,[graphviz],png}
15310 @subsubheading Members
15313 @geindex parse() (pyGHDL.dom.Expression.QualifiedExpression class method)
15314 @anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression QualifiedExpression parse}@anchor{5fb}
15315 @deffn {Method} classmethod  parse (node)
15317 @*Return type: 
15318 @ref{203,,QualifiedExpression}
15320 @end deffn
15322 @geindex Operand (pyGHDL.dom.Expression.QualifiedExpression property)
15323 @anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression QualifiedExpression Operand}@anchor{5fc}
15324 @deffn {Property} Operand
15325 @end deffn
15327 @geindex Parent (pyGHDL.dom.Expression.QualifiedExpression property)
15328 @anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression QualifiedExpression Parent}@anchor{5fd}
15329 @deffn {Property} Parent:  pyVHDLModel.ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
15331 Returns a reference to the parent entity.
15333 @*Return type: 
15334 ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
15336 @end deffn
15338 @geindex Position (pyGHDL.dom.Expression.QualifiedExpression property)
15339 @anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression QualifiedExpression Position}@anchor{5fe}
15340 @deffn {Property} Position:  @ref{200,,pyGHDL.dom.Position}
15342 @*Return type: 
15343 @ref{200,,Position}
15345 @end deffn
15347 @geindex Subtyped (pyGHDL.dom.Expression.QualifiedExpression property)
15348 @anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression QualifiedExpression Subtyped}@anchor{5ff}
15349 @deffn {Property} Subtyped
15350 @end deffn
15352 @geindex _position (pyGHDL.dom.Expression.QualifiedExpression attribute)
15353 @anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression QualifiedExpression _position}@anchor{600}
15354 @deffn {Attribute} _position:  @ref{200,,Position}  =  None
15355 @end deffn
15357 @geindex _operand (pyGHDL.dom.Expression.QualifiedExpression attribute)
15358 @anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression QualifiedExpression _operand}@anchor{601}
15359 @deffn {Attribute} _operand:  Union[BaseExpression,  @ref{203,,QualifiedExpression},  @ref{204,,FunctionCall},  @ref{205,,TypeConversion},  @ref{206,,Constant},  ConstantSymbol,  @ref{207,,Variable},  VariableSymbol,  @ref{208,,Signal},  SignalSymbol,  Literal]
15360 @end deffn
15362 @geindex _subtype (pyGHDL.dom.Expression.QualifiedExpression attribute)
15363 @anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression QualifiedExpression _subtype}@anchor{602}
15364 @deffn {Attribute} _subtype:  Union[@ref{239,,Subtype},  SubtypeSymbol]
15365 @end deffn
15367 @geindex _parent (pyGHDL.dom.Expression.QualifiedExpression attribute)
15368 @anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression QualifiedExpression _parent}@anchor{603}
15369 @deffn {Attribute} _parent:  ModelEntity
15371 Reference to a parent entity in the model.
15372 @end deffn
15374 @geindex _iirNode (pyGHDL.dom.Expression.QualifiedExpression attribute)
15375 @anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression QualifiedExpression _iirNode}@anchor{604}
15376 @deffn {Attribute} _iirNode:  Iir
15377 @end deffn
15378 @end deffn
15380 @geindex SubtypeAllocation (class in pyGHDL.dom.Expression)
15381 @anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression SubtypeAllocation}@anchor{446}
15382 @deffn {Class} pyGHDL.dom.Expression.SubtypeAllocation (node, subtype)
15384 @subsubheading Inheritance
15386 @image{inheritance-6e727058f00979d63f195a21dba6f42ca1b8ded1,,,[graphviz],png}
15388 @subsubheading Members
15391 @geindex parse() (pyGHDL.dom.Expression.SubtypeAllocation class method)
15392 @anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression SubtypeAllocation parse}@anchor{605}
15393 @deffn {Method} classmethod  parse (node)
15395 @*Return type: 
15396 @ref{447,,QualifiedExpressionAllocation}
15398 @end deffn
15400 @geindex Parent (pyGHDL.dom.Expression.SubtypeAllocation property)
15401 @anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression SubtypeAllocation Parent}@anchor{606}
15402 @deffn {Property} Parent:  pyVHDLModel.ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
15404 Returns a reference to the parent entity.
15406 @*Return type: 
15407 ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
15409 @end deffn
15411 @geindex Position (pyGHDL.dom.Expression.SubtypeAllocation property)
15412 @anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression SubtypeAllocation Position}@anchor{607}
15413 @deffn {Property} Position:  @ref{200,,pyGHDL.dom.Position}
15415 @*Return type: 
15416 @ref{200,,Position}
15418 @end deffn
15420 @geindex Subtype (pyGHDL.dom.Expression.SubtypeAllocation property)
15421 @anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression SubtypeAllocation Subtype}@anchor{608}
15422 @deffn {Property} Subtype:  pyVHDLModel.SyntaxModel.Symbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Symbol}
15424 @*Return type: 
15425 Symbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Symbol}
15427 @end deffn
15429 @geindex _position (pyGHDL.dom.Expression.SubtypeAllocation attribute)
15430 @anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression SubtypeAllocation _position}@anchor{609}
15431 @deffn {Attribute} _position:  @ref{200,,pyGHDL.dom.Position}  =  None
15432 @end deffn
15434 @geindex _subtype (pyGHDL.dom.Expression.SubtypeAllocation attribute)
15435 @anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression SubtypeAllocation _subtype}@anchor{60a}
15436 @deffn {Attribute} _subtype:  pyVHDLModel.SyntaxModel.Symbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Symbol}
15437 @end deffn
15439 @geindex _parent (pyGHDL.dom.Expression.SubtypeAllocation attribute)
15440 @anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression SubtypeAllocation _parent}@anchor{60b}
15441 @deffn {Attribute} _parent:  ModelEntity
15443 Reference to a parent entity in the model.
15444 @end deffn
15446 @geindex _iirNode (pyGHDL.dom.Expression.SubtypeAllocation attribute)
15447 @anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression SubtypeAllocation _iirNode}@anchor{60c}
15448 @deffn {Attribute} _iirNode:  pyGHDL.libghdl._types.Iir
15449 @end deffn
15450 @end deffn
15452 @geindex QualifiedExpressionAllocation (class in pyGHDL.dom.Expression)
15453 @anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression QualifiedExpressionAllocation}@anchor{447}
15454 @deffn {Class} pyGHDL.dom.Expression.QualifiedExpressionAllocation (node, qualifiedExpression)
15456 @subsubheading Inheritance
15458 @image{inheritance-29376e4e5770f95e674cc62f8e9431092fda2b60,,,[graphviz],png}
15460 @subsubheading Members
15463 @geindex parse() (pyGHDL.dom.Expression.QualifiedExpressionAllocation class method)
15464 @anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression QualifiedExpressionAllocation parse}@anchor{60d}
15465 @deffn {Method} classmethod  parse (node)
15467 @*Return type: 
15468 @ref{447,,QualifiedExpressionAllocation}
15470 @end deffn
15472 @geindex Parent (pyGHDL.dom.Expression.QualifiedExpressionAllocation property)
15473 @anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression QualifiedExpressionAllocation Parent}@anchor{60e}
15474 @deffn {Property} Parent:  pyVHDLModel.ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
15476 Returns a reference to the parent entity.
15478 @*Return type: 
15479 ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
15481 @end deffn
15483 @geindex Position (pyGHDL.dom.Expression.QualifiedExpressionAllocation property)
15484 @anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression QualifiedExpressionAllocation Position}@anchor{60f}
15485 @deffn {Property} Position:  @ref{200,,pyGHDL.dom.Position}
15487 @*Return type: 
15488 @ref{200,,Position}
15490 @end deffn
15492 @geindex QualifiedExpression (pyGHDL.dom.Expression.QualifiedExpressionAllocation property)
15493 @anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression QualifiedExpressionAllocation QualifiedExpression}@anchor{610}
15494 @deffn {Property} QualifiedExpression:  pyVHDLModel.SyntaxModel.QualifiedExpression@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.QualifiedExpression}
15496 @*Return type: 
15497 QualifiedExpression@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.QualifiedExpression}
15499 @end deffn
15501 @geindex _position (pyGHDL.dom.Expression.QualifiedExpressionAllocation attribute)
15502 @anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression QualifiedExpressionAllocation _position}@anchor{611}
15503 @deffn {Attribute} _position:  @ref{200,,pyGHDL.dom.Position}  =  None
15504 @end deffn
15506 @geindex _qualifiedExpression (pyGHDL.dom.Expression.QualifiedExpressionAllocation attribute)
15507 @anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression QualifiedExpressionAllocation _qualifiedExpression}@anchor{612}
15508 @deffn {Attribute} _qualifiedExpression:  pyVHDLModel.SyntaxModel.QualifiedExpression@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.QualifiedExpression}
15509 @end deffn
15511 @geindex _parent (pyGHDL.dom.Expression.QualifiedExpressionAllocation attribute)
15512 @anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression QualifiedExpressionAllocation _parent}@anchor{613}
15513 @deffn {Attribute} _parent:  ModelEntity
15515 Reference to a parent entity in the model.
15516 @end deffn
15518 @geindex _iirNode (pyGHDL.dom.Expression.QualifiedExpressionAllocation attribute)
15519 @anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression QualifiedExpressionAllocation _iirNode}@anchor{614}
15520 @deffn {Attribute} _iirNode:  pyGHDL.libghdl._types.Iir
15521 @end deffn
15522 @end deffn
15524 @geindex Aggregate (class in pyGHDL.dom.Expression)
15525 @anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression Aggregate}@anchor{448}
15526 @deffn {Class} pyGHDL.dom.Expression.Aggregate (node, elements)
15528 @subsubheading Inheritance
15530 @image{inheritance-0b43089389cce7434ff9c5bd3e28c2955f409ee3,,,[graphviz],png}
15532 @subsubheading Members
15535 @geindex parse() (pyGHDL.dom.Expression.Aggregate class method)
15536 @anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression Aggregate parse}@anchor{615}
15537 @deffn {Method} classmethod  parse (node)
15539 @*Return type: 
15540 @ref{448,,Aggregate}
15542 @end deffn
15544 @geindex Elements (pyGHDL.dom.Expression.Aggregate property)
15545 @anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression Aggregate Elements}@anchor{616}
15546 @deffn {Property} Elements:  List[pyVHDLModel.SyntaxModel.AggregateElement@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.AggregateElement}]
15548 @*Return type: 
15549 List@footnote{https://docs.python.org/3.6/library/typing.html#typing.List}[AggregateElement@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.AggregateElement}]
15551 @end deffn
15553 @geindex Parent (pyGHDL.dom.Expression.Aggregate property)
15554 @anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression Aggregate Parent}@anchor{617}
15555 @deffn {Property} Parent:  pyVHDLModel.ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
15557 Returns a reference to the parent entity.
15559 @*Return type: 
15560 ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
15562 @end deffn
15564 @geindex Position (pyGHDL.dom.Expression.Aggregate property)
15565 @anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression Aggregate Position}@anchor{618}
15566 @deffn {Property} Position:  @ref{200,,pyGHDL.dom.Position}
15568 @*Return type: 
15569 @ref{200,,Position}
15571 @end deffn
15573 @geindex _position (pyGHDL.dom.Expression.Aggregate attribute)
15574 @anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression Aggregate _position}@anchor{619}
15575 @deffn {Attribute} _position:  @ref{200,,pyGHDL.dom.Position}  =  None
15576 @end deffn
15578 @geindex _elements (pyGHDL.dom.Expression.Aggregate attribute)
15579 @anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression Aggregate _elements}@anchor{61a}
15580 @deffn {Attribute} _elements:  List[pyVHDLModel.SyntaxModel.AggregateElement@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.AggregateElement}]
15581 @end deffn
15583 @geindex _parent (pyGHDL.dom.Expression.Aggregate attribute)
15584 @anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression Aggregate _parent}@anchor{61b}
15585 @deffn {Attribute} _parent:  ModelEntity
15587 Reference to a parent entity in the model.
15588 @end deffn
15590 @geindex _iirNode (pyGHDL.dom.Expression.Aggregate attribute)
15591 @anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression Aggregate _iirNode}@anchor{61c}
15592 @deffn {Attribute} _iirNode:  pyGHDL.libghdl._types.Iir
15593 @end deffn
15594 @end deffn
15596 @c # Load pre-defined aliases and graphical characters like © from docutils
15597 @c # <file> is used to denote the special path
15598 @c # <Python>\Lib\site-packages\docutils\parsers\rst\include
15600 @c This data file has been placed in the public domain.
15602 @c Derived from the Unicode character mappings available from
15603 @c <http://www.w3.org/2003/entities/xml/>.
15604 @c Processed by unicode2rstsubs.py, part of Docutils:
15605 @c <http://docutils.sourceforge.net>.
15607 @c This data file has been placed in the public domain.
15609 @c Derived from the Unicode character mappings available from
15610 @c <http://www.w3.org/2003/entities/xml/>.
15611 @c Processed by unicode2rstsubs.py, part of Docutils:
15612 @c <http://docutils.sourceforge.net>.
15614 @c # define a hard line break for HTML
15616 @node pyGHDL dom InterfaceItem,pyGHDL dom Literal,pyGHDL dom Expression,pyGHDL dom
15617 @anchor{pyGHDL/pyGHDL dom InterfaceItem doc}@anchor{61d}@anchor{pyGHDL/pyGHDL dom InterfaceItem module-pyGHDL dom InterfaceItem}@anchor{e}@anchor{pyGHDL/pyGHDL dom InterfaceItem pyghdl-dom-interfaceitem}@anchor{61e}
15618 @subsection pyGHDL.dom.InterfaceItem
15621 @geindex module; pyGHDL.dom.InterfaceItem
15623 @c #-----------------------------------
15625 @strong{Classes}
15628 @itemize -
15630 @item 
15631 @ref{61f,,GenericConstantInterfaceItem}:
15632 @code{ModelEntity} is the base class for all classes in the VHDL language model,
15634 @item 
15635 @ref{620,,GenericTypeInterfaceItem}:
15636 @code{BaseType} is the base class of all type entities in this model.
15638 @item 
15639 @ref{621,,GenericPackageInterfaceItem}:
15640 A @code{GenericInterfaceItem} is a mixin class for all generic interface items.
15642 @item 
15643 @ref{622,,GenericProcedureInterfaceItem}:
15644 @code{ModelEntity} is the base class for all classes in the VHDL language model,
15646 @item 
15647 @ref{623,,GenericFunctionInterfaceItem}:
15648 @code{ModelEntity} is the base class for all classes in the VHDL language model,
15650 @item 
15651 @ref{624,,PortSignalInterfaceItem}:
15652 @code{ModelEntity} is the base class for all classes in the VHDL language model,
15654 @item 
15655 @ref{625,,ParameterConstantInterfaceItem}:
15656 @code{ModelEntity} is the base class for all classes in the VHDL language model,
15658 @item 
15659 @ref{626,,ParameterVariableInterfaceItem}:
15660 @code{ModelEntity} is the base class for all classes in the VHDL language model,
15662 @item 
15663 @ref{627,,ParameterSignalInterfaceItem}:
15664 @code{ModelEntity} is the base class for all classes in the VHDL language model,
15666 @item 
15667 @ref{628,,ParameterFileInterfaceItem}:
15668 @code{ModelEntity} is the base class for all classes in the VHDL language model,
15669 @end itemize
15671 @c #-----------------------------------
15673 @geindex GenericConstantInterfaceItem (class in pyGHDL.dom.InterfaceItem)
15674 @anchor{pyGHDL/pyGHDL dom InterfaceItem pyGHDL dom InterfaceItem GenericConstantInterfaceItem}@anchor{61f}
15675 @deffn {Class} pyGHDL.dom.InterfaceItem.GenericConstantInterfaceItem (node, identifiers, mode, subtype, defaultExpression)
15677 @subsubheading Inheritance
15679 @image{inheritance-5dee1b0b2a6eb8ffa88ae4869ebf18a2269feb27,,,[graphviz],png}
15681 @subsubheading Members
15684 @geindex parse() (pyGHDL.dom.InterfaceItem.GenericConstantInterfaceItem class method)
15685 @anchor{pyGHDL/pyGHDL dom InterfaceItem pyGHDL dom InterfaceItem GenericConstantInterfaceItem parse}@anchor{629}
15686 @deffn {Method} classmethod  parse (genericNode)
15688 @*Return type: 
15689 @ref{61f,,GenericConstantInterfaceItem}
15691 @end deffn
15693 @geindex DefaultExpression (pyGHDL.dom.InterfaceItem.GenericConstantInterfaceItem property)
15694 @anchor{pyGHDL/pyGHDL dom InterfaceItem pyGHDL dom InterfaceItem GenericConstantInterfaceItem DefaultExpression}@anchor{62a}
15695 @deffn {Property} DefaultExpression:  Union[BaseExpression,  @ref{203,,QualifiedExpression},  @ref{204,,FunctionCall},  @ref{205,,TypeConversion},  @ref{206,,Constant},  ConstantSymbol,  @ref{207,,Variable},  VariableSymbol,  @ref{208,,Signal},  SignalSymbol,  Literal]
15697 @*Return type: 
15698 Union@footnote{https://docs.python.org/3.6/library/typing.html#typing.Union}[BaseExpression@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.BaseExpression}, QualifiedExpression@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.QualifiedExpression}, FunctionCall@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.FunctionCall}, TypeConversion@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.TypeConversion}, Constant@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Constant}, ConstantSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.ConstantSymbol}, Variable@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Variable}, VariableSymbol, Signal@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Signal}, SignalSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.SignalSymbol}, @code{Literal}]
15700 @end deffn
15702 @geindex Identifiers (pyGHDL.dom.InterfaceItem.GenericConstantInterfaceItem property)
15703 @anchor{pyGHDL/pyGHDL dom InterfaceItem pyGHDL dom InterfaceItem GenericConstantInterfaceItem Identifiers}@anchor{62b}
15704 @deffn {Property} Identifiers:  List[str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}]
15706 Returns a model entity’s list of identifiers (name).
15708 @*Return type: 
15709 List@footnote{https://docs.python.org/3.6/library/typing.html#typing.List}[str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}]
15711 @end deffn
15713 @geindex Mode (pyGHDL.dom.InterfaceItem.GenericConstantInterfaceItem property)
15714 @anchor{pyGHDL/pyGHDL dom InterfaceItem pyGHDL dom InterfaceItem GenericConstantInterfaceItem Mode}@anchor{62c}
15715 @deffn {Property} Mode:  pyVHDLModel.Mode@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.Mode}
15717 @*Return type: 
15718 Mode@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.Mode}
15720 @end deffn
15722 @geindex Parent (pyGHDL.dom.InterfaceItem.GenericConstantInterfaceItem property)
15723 @anchor{pyGHDL/pyGHDL dom InterfaceItem pyGHDL dom InterfaceItem GenericConstantInterfaceItem Parent}@anchor{62d}
15724 @deffn {Property} Parent:  pyVHDLModel.ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
15726 Returns a reference to the parent entity.
15728 @*Return type: 
15729 ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
15731 @end deffn
15733 @geindex Position (pyGHDL.dom.InterfaceItem.GenericConstantInterfaceItem property)
15734 @anchor{pyGHDL/pyGHDL dom InterfaceItem pyGHDL dom InterfaceItem GenericConstantInterfaceItem Position}@anchor{62e}
15735 @deffn {Property} Position:  @ref{200,,pyGHDL.dom.Position}
15737 @*Return type: 
15738 @ref{200,,Position}
15740 @end deffn
15742 @geindex Subtype (pyGHDL.dom.InterfaceItem.GenericConstantInterfaceItem property)
15743 @anchor{pyGHDL/pyGHDL dom InterfaceItem pyGHDL dom InterfaceItem GenericConstantInterfaceItem Subtype}@anchor{62f}
15744 @deffn {Property} Subtype:  Union[pyVHDLModel.SyntaxModel.Subtype@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Subtype},  pyVHDLModel.SyntaxModel.SubtypeSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.SubtypeSymbol}]
15746 @*Return type: 
15747 Union@footnote{https://docs.python.org/3.6/library/typing.html#typing.Union}[Subtype@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Subtype}, SubtypeSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.SubtypeSymbol}]
15749 @end deffn
15751 @geindex _position (pyGHDL.dom.InterfaceItem.GenericConstantInterfaceItem attribute)
15752 @anchor{pyGHDL/pyGHDL dom InterfaceItem pyGHDL dom InterfaceItem GenericConstantInterfaceItem _position}@anchor{630}
15753 @deffn {Attribute} _position:  @ref{200,,Position}  =  None
15754 @end deffn
15756 @geindex _subtype (pyGHDL.dom.InterfaceItem.GenericConstantInterfaceItem attribute)
15757 @anchor{pyGHDL/pyGHDL dom InterfaceItem pyGHDL dom InterfaceItem GenericConstantInterfaceItem _subtype}@anchor{631}
15758 @deffn {Attribute} _subtype:  Union[@ref{239,,Subtype},  SubtypeSymbol]
15759 @end deffn
15761 @geindex _parent (pyGHDL.dom.InterfaceItem.GenericConstantInterfaceItem attribute)
15762 @anchor{pyGHDL/pyGHDL dom InterfaceItem pyGHDL dom InterfaceItem GenericConstantInterfaceItem _parent}@anchor{632}
15763 @deffn {Attribute} _parent:  ModelEntity
15765 Reference to a parent entity in the model.
15766 @end deffn
15768 @geindex _identifiers (pyGHDL.dom.InterfaceItem.GenericConstantInterfaceItem attribute)
15769 @anchor{pyGHDL/pyGHDL dom InterfaceItem pyGHDL dom InterfaceItem GenericConstantInterfaceItem _identifiers}@anchor{633}
15770 @deffn {Attribute} _identifiers:  List[str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}]
15772 A list of identifiers.
15773 @end deffn
15775 @geindex _defaultExpression (pyGHDL.dom.InterfaceItem.GenericConstantInterfaceItem attribute)
15776 @anchor{pyGHDL/pyGHDL dom InterfaceItem pyGHDL dom InterfaceItem GenericConstantInterfaceItem _defaultExpression}@anchor{634}
15777 @deffn {Attribute} _defaultExpression:  ExpressionUnion
15778 @end deffn
15780 @geindex _mode (pyGHDL.dom.InterfaceItem.GenericConstantInterfaceItem attribute)
15781 @anchor{pyGHDL/pyGHDL dom InterfaceItem pyGHDL dom InterfaceItem GenericConstantInterfaceItem _mode}@anchor{635}
15782 @deffn {Attribute} _mode:  Mode
15783 @end deffn
15785 @geindex _iirNode (pyGHDL.dom.InterfaceItem.GenericConstantInterfaceItem attribute)
15786 @anchor{pyGHDL/pyGHDL dom InterfaceItem pyGHDL dom InterfaceItem GenericConstantInterfaceItem _iirNode}@anchor{636}
15787 @deffn {Attribute} _iirNode:  Iir
15788 @end deffn
15789 @end deffn
15791 @geindex GenericTypeInterfaceItem (class in pyGHDL.dom.InterfaceItem)
15792 @anchor{pyGHDL/pyGHDL dom InterfaceItem pyGHDL dom InterfaceItem GenericTypeInterfaceItem}@anchor{620}
15793 @deffn {Class} pyGHDL.dom.InterfaceItem.GenericTypeInterfaceItem (node, identifier)
15795 @subsubheading Inheritance
15797 @image{inheritance-5ccb8f461b6d5e05d7cae1acf7bd95a349c7a646,,,[graphviz],png}
15799 @subsubheading Members
15802 @geindex parse() (pyGHDL.dom.InterfaceItem.GenericTypeInterfaceItem class method)
15803 @anchor{pyGHDL/pyGHDL dom InterfaceItem pyGHDL dom InterfaceItem GenericTypeInterfaceItem parse}@anchor{637}
15804 @deffn {Method} classmethod  parse (genericNode)
15806 @*Return type: 
15807 @ref{620,,GenericTypeInterfaceItem}
15809 @end deffn
15811 @geindex Identifier (pyGHDL.dom.InterfaceItem.GenericTypeInterfaceItem property)
15812 @anchor{pyGHDL/pyGHDL dom InterfaceItem pyGHDL dom InterfaceItem GenericTypeInterfaceItem Identifier}@anchor{638}
15813 @deffn {Property} Identifier:  str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
15815 Returns a model entity’s identifier (name).
15817 @*Return type: 
15818 str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
15820 @end deffn
15822 @geindex Parent (pyGHDL.dom.InterfaceItem.GenericTypeInterfaceItem property)
15823 @anchor{pyGHDL/pyGHDL dom InterfaceItem pyGHDL dom InterfaceItem GenericTypeInterfaceItem Parent}@anchor{639}
15824 @deffn {Property} Parent:  pyVHDLModel.ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
15826 Returns a reference to the parent entity.
15828 @*Return type: 
15829 ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
15831 @end deffn
15833 @geindex Position (pyGHDL.dom.InterfaceItem.GenericTypeInterfaceItem property)
15834 @anchor{pyGHDL/pyGHDL dom InterfaceItem pyGHDL dom InterfaceItem GenericTypeInterfaceItem Position}@anchor{63a}
15835 @deffn {Property} Position:  @ref{200,,pyGHDL.dom.Position}
15837 @*Return type: 
15838 @ref{200,,Position}
15840 @end deffn
15842 @geindex _position (pyGHDL.dom.InterfaceItem.GenericTypeInterfaceItem attribute)
15843 @anchor{pyGHDL/pyGHDL dom InterfaceItem pyGHDL dom InterfaceItem GenericTypeInterfaceItem _position}@anchor{63b}
15844 @deffn {Attribute} _position:  @ref{200,,Position}  =  None
15845 @end deffn
15847 @geindex _parent (pyGHDL.dom.InterfaceItem.GenericTypeInterfaceItem attribute)
15848 @anchor{pyGHDL/pyGHDL dom InterfaceItem pyGHDL dom InterfaceItem GenericTypeInterfaceItem _parent}@anchor{63c}
15849 @deffn {Attribute} _parent:  ModelEntity
15851 Reference to a parent entity in the model.
15852 @end deffn
15854 @geindex _identifier (pyGHDL.dom.InterfaceItem.GenericTypeInterfaceItem attribute)
15855 @anchor{pyGHDL/pyGHDL dom InterfaceItem pyGHDL dom InterfaceItem GenericTypeInterfaceItem _identifier}@anchor{63d}
15856 @deffn {Attribute} _identifier:  str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
15858 The identifier of a model entity.
15859 @end deffn
15861 @geindex _iirNode (pyGHDL.dom.InterfaceItem.GenericTypeInterfaceItem attribute)
15862 @anchor{pyGHDL/pyGHDL dom InterfaceItem pyGHDL dom InterfaceItem GenericTypeInterfaceItem _iirNode}@anchor{63e}
15863 @deffn {Attribute} _iirNode:  Iir
15864 @end deffn
15865 @end deffn
15867 @geindex GenericPackageInterfaceItem (class in pyGHDL.dom.InterfaceItem)
15868 @anchor{pyGHDL/pyGHDL dom InterfaceItem pyGHDL dom InterfaceItem GenericPackageInterfaceItem}@anchor{621}
15869 @deffn {Class} pyGHDL.dom.InterfaceItem.GenericPackageInterfaceItem (node, name)
15871 @subsubheading Inheritance
15873 @image{inheritance-7208798d6d7e5dc2bea2ca99c0cb6ed40430fd31,,,[graphviz],png}
15875 @subsubheading Members
15878 @geindex parse() (pyGHDL.dom.InterfaceItem.GenericPackageInterfaceItem class method)
15879 @anchor{pyGHDL/pyGHDL dom InterfaceItem pyGHDL dom InterfaceItem GenericPackageInterfaceItem parse}@anchor{63f}
15880 @deffn {Method} classmethod  parse (genericNode)
15882 @*Return type: 
15883 @ref{621,,GenericPackageInterfaceItem}
15885 @end deffn
15887 @geindex Position (pyGHDL.dom.InterfaceItem.GenericPackageInterfaceItem property)
15888 @anchor{pyGHDL/pyGHDL dom InterfaceItem pyGHDL dom InterfaceItem GenericPackageInterfaceItem Position}@anchor{640}
15889 @deffn {Property} Position:  @ref{200,,pyGHDL.dom.Position}
15891 @*Return type: 
15892 @ref{200,,Position}
15894 @end deffn
15896 @geindex _position (pyGHDL.dom.InterfaceItem.GenericPackageInterfaceItem attribute)
15897 @anchor{pyGHDL/pyGHDL dom InterfaceItem pyGHDL dom InterfaceItem GenericPackageInterfaceItem _position}@anchor{641}
15898 @deffn {Attribute} _position:  @ref{200,,pyGHDL.dom.Position}  =  None
15899 @end deffn
15901 @geindex _iirNode (pyGHDL.dom.InterfaceItem.GenericPackageInterfaceItem attribute)
15902 @anchor{pyGHDL/pyGHDL dom InterfaceItem pyGHDL dom InterfaceItem GenericPackageInterfaceItem _iirNode}@anchor{642}
15903 @deffn {Attribute} _iirNode:  pyGHDL.libghdl._types.Iir
15904 @end deffn
15905 @end deffn
15907 @geindex GenericProcedureInterfaceItem (class in pyGHDL.dom.InterfaceItem)
15908 @anchor{pyGHDL/pyGHDL dom InterfaceItem pyGHDL dom InterfaceItem GenericProcedureInterfaceItem}@anchor{622}
15909 @deffn {Class} pyGHDL.dom.InterfaceItem.GenericProcedureInterfaceItem (node, identifier)
15911 @subsubheading Inheritance
15913 @image{inheritance-02a0fa8df4315ac9c082731c72668ee84e1a7367,,,[graphviz],png}
15915 @subsubheading Members
15918 @geindex parse() (pyGHDL.dom.InterfaceItem.GenericProcedureInterfaceItem class method)
15919 @anchor{pyGHDL/pyGHDL dom InterfaceItem pyGHDL dom InterfaceItem GenericProcedureInterfaceItem parse}@anchor{643}
15920 @deffn {Method} classmethod  parse (genericNode)
15922 @*Return type: 
15923 @ref{622,,GenericProcedureInterfaceItem}
15925 @end deffn
15927 @geindex DeclaredItems (pyGHDL.dom.InterfaceItem.GenericProcedureInterfaceItem property)
15928 @anchor{pyGHDL/pyGHDL dom InterfaceItem pyGHDL dom InterfaceItem GenericProcedureInterfaceItem DeclaredItems}@anchor{644}
15929 @deffn {Property} DeclaredItems:  List
15931 @*Return type: 
15932 List@footnote{https://docs.python.org/3.6/library/typing.html#typing.List}
15934 @end deffn
15936 @geindex GenericItems (pyGHDL.dom.InterfaceItem.GenericProcedureInterfaceItem property)
15937 @anchor{pyGHDL/pyGHDL dom InterfaceItem pyGHDL dom InterfaceItem GenericProcedureInterfaceItem GenericItems}@anchor{645}
15938 @deffn {Property} GenericItems:  List[pyVHDLModel.SyntaxModel.GenericInterfaceItem@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.GenericInterfaceItem}]
15940 @*Return type: 
15941 List@footnote{https://docs.python.org/3.6/library/typing.html#typing.List}[GenericInterfaceItem@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.GenericInterfaceItem}]
15943 @end deffn
15945 @geindex Identifier (pyGHDL.dom.InterfaceItem.GenericProcedureInterfaceItem property)
15946 @anchor{pyGHDL/pyGHDL dom InterfaceItem pyGHDL dom InterfaceItem GenericProcedureInterfaceItem Identifier}@anchor{646}
15947 @deffn {Property} Identifier:  str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
15949 Returns a model entity’s identifier (name).
15951 @*Return type: 
15952 str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
15954 @end deffn
15956 @geindex IsPure (pyGHDL.dom.InterfaceItem.GenericProcedureInterfaceItem property)
15957 @anchor{pyGHDL/pyGHDL dom InterfaceItem pyGHDL dom InterfaceItem GenericProcedureInterfaceItem IsPure}@anchor{647}
15958 @deffn {Property} IsPure:  bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
15960 @*Return type: 
15961 bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
15963 @end deffn
15965 @geindex ParameterItems (pyGHDL.dom.InterfaceItem.GenericProcedureInterfaceItem property)
15966 @anchor{pyGHDL/pyGHDL dom InterfaceItem pyGHDL dom InterfaceItem GenericProcedureInterfaceItem ParameterItems}@anchor{648}
15967 @deffn {Property} ParameterItems:  List[pyVHDLModel.SyntaxModel.ParameterInterfaceItem@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.ParameterInterfaceItem}]
15969 @*Return type: 
15970 List@footnote{https://docs.python.org/3.6/library/typing.html#typing.List}[ParameterInterfaceItem@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.ParameterInterfaceItem}]
15972 @end deffn
15974 @geindex Parent (pyGHDL.dom.InterfaceItem.GenericProcedureInterfaceItem property)
15975 @anchor{pyGHDL/pyGHDL dom InterfaceItem pyGHDL dom InterfaceItem GenericProcedureInterfaceItem Parent}@anchor{649}
15976 @deffn {Property} Parent:  pyVHDLModel.ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
15978 Returns a reference to the parent entity.
15980 @*Return type: 
15981 ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
15983 @end deffn
15985 @geindex Position (pyGHDL.dom.InterfaceItem.GenericProcedureInterfaceItem property)
15986 @anchor{pyGHDL/pyGHDL dom InterfaceItem pyGHDL dom InterfaceItem GenericProcedureInterfaceItem Position}@anchor{64a}
15987 @deffn {Property} Position:  @ref{200,,pyGHDL.dom.Position}
15989 @*Return type: 
15990 @ref{200,,Position}
15992 @end deffn
15994 @geindex Statements (pyGHDL.dom.InterfaceItem.GenericProcedureInterfaceItem property)
15995 @anchor{pyGHDL/pyGHDL dom InterfaceItem pyGHDL dom InterfaceItem GenericProcedureInterfaceItem Statements}@anchor{64b}
15996 @deffn {Property} Statements:  List[pyVHDLModel.SyntaxModel.SequentialStatement@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.SequentialStatement}]
15998 @*Return type: 
15999 List@footnote{https://docs.python.org/3.6/library/typing.html#typing.List}[SequentialStatement@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.SequentialStatement}]
16001 @end deffn
16003 @geindex _isPure (pyGHDL.dom.InterfaceItem.GenericProcedureInterfaceItem attribute)
16004 @anchor{pyGHDL/pyGHDL dom InterfaceItem pyGHDL dom InterfaceItem GenericProcedureInterfaceItem _isPure}@anchor{64c}
16005 @deffn {Attribute} _isPure:  bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}  =  False
16006 @end deffn
16008 @geindex _position (pyGHDL.dom.InterfaceItem.GenericProcedureInterfaceItem attribute)
16009 @anchor{pyGHDL/pyGHDL dom InterfaceItem pyGHDL dom InterfaceItem GenericProcedureInterfaceItem _position}@anchor{64d}
16010 @deffn {Attribute} _position:  @ref{200,,Position}  =  None
16011 @end deffn
16013 @geindex _genericItems (pyGHDL.dom.InterfaceItem.GenericProcedureInterfaceItem attribute)
16014 @anchor{pyGHDL/pyGHDL dom InterfaceItem pyGHDL dom InterfaceItem GenericProcedureInterfaceItem _genericItems}@anchor{64e}
16015 @deffn {Attribute} _genericItems:  List['GenericInterfaceItem']
16016 @end deffn
16018 @geindex _parameterItems (pyGHDL.dom.InterfaceItem.GenericProcedureInterfaceItem attribute)
16019 @anchor{pyGHDL/pyGHDL dom InterfaceItem pyGHDL dom InterfaceItem GenericProcedureInterfaceItem _parameterItems}@anchor{64f}
16020 @deffn {Attribute} _parameterItems:  List['ParameterInterfaceItem']
16021 @end deffn
16023 @geindex _declaredItems (pyGHDL.dom.InterfaceItem.GenericProcedureInterfaceItem attribute)
16024 @anchor{pyGHDL/pyGHDL dom InterfaceItem pyGHDL dom InterfaceItem GenericProcedureInterfaceItem _declaredItems}@anchor{650}
16025 @deffn {Attribute} _declaredItems:  List
16026 @end deffn
16028 @geindex _statements (pyGHDL.dom.InterfaceItem.GenericProcedureInterfaceItem attribute)
16029 @anchor{pyGHDL/pyGHDL dom InterfaceItem pyGHDL dom InterfaceItem GenericProcedureInterfaceItem _statements}@anchor{651}
16030 @deffn {Attribute} _statements:  List['SequentialStatement']
16031 @end deffn
16033 @geindex _parent (pyGHDL.dom.InterfaceItem.GenericProcedureInterfaceItem attribute)
16034 @anchor{pyGHDL/pyGHDL dom InterfaceItem pyGHDL dom InterfaceItem GenericProcedureInterfaceItem _parent}@anchor{652}
16035 @deffn {Attribute} _parent:  ModelEntity
16037 Reference to a parent entity in the model.
16038 @end deffn
16040 @geindex _identifier (pyGHDL.dom.InterfaceItem.GenericProcedureInterfaceItem attribute)
16041 @anchor{pyGHDL/pyGHDL dom InterfaceItem pyGHDL dom InterfaceItem GenericProcedureInterfaceItem _identifier}@anchor{653}
16042 @deffn {Attribute} _identifier:  str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
16044 The identifier of a model entity.
16045 @end deffn
16047 @geindex _iirNode (pyGHDL.dom.InterfaceItem.GenericProcedureInterfaceItem attribute)
16048 @anchor{pyGHDL/pyGHDL dom InterfaceItem pyGHDL dom InterfaceItem GenericProcedureInterfaceItem _iirNode}@anchor{654}
16049 @deffn {Attribute} _iirNode:  Iir
16050 @end deffn
16051 @end deffn
16053 @geindex GenericFunctionInterfaceItem (class in pyGHDL.dom.InterfaceItem)
16054 @anchor{pyGHDL/pyGHDL dom InterfaceItem pyGHDL dom InterfaceItem GenericFunctionInterfaceItem}@anchor{623}
16055 @deffn {Class} pyGHDL.dom.InterfaceItem.GenericFunctionInterfaceItem (node, identifier)
16057 @subsubheading Inheritance
16059 @image{inheritance-8042610b7670d437c7a90a30d7ddead9784ed8a8,,,[graphviz],png}
16061 @subsubheading Members
16064 @geindex parse() (pyGHDL.dom.InterfaceItem.GenericFunctionInterfaceItem class method)
16065 @anchor{pyGHDL/pyGHDL dom InterfaceItem pyGHDL dom InterfaceItem GenericFunctionInterfaceItem parse}@anchor{655}
16066 @deffn {Method} classmethod  parse (genericNode)
16068 @*Return type: 
16069 @ref{623,,GenericFunctionInterfaceItem}
16071 @end deffn
16073 @geindex DeclaredItems (pyGHDL.dom.InterfaceItem.GenericFunctionInterfaceItem property)
16074 @anchor{pyGHDL/pyGHDL dom InterfaceItem pyGHDL dom InterfaceItem GenericFunctionInterfaceItem DeclaredItems}@anchor{656}
16075 @deffn {Property} DeclaredItems:  List
16077 @*Return type: 
16078 List@footnote{https://docs.python.org/3.6/library/typing.html#typing.List}
16080 @end deffn
16082 @geindex GenericItems (pyGHDL.dom.InterfaceItem.GenericFunctionInterfaceItem property)
16083 @anchor{pyGHDL/pyGHDL dom InterfaceItem pyGHDL dom InterfaceItem GenericFunctionInterfaceItem GenericItems}@anchor{657}
16084 @deffn {Property} GenericItems:  List[pyVHDLModel.SyntaxModel.GenericInterfaceItem@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.GenericInterfaceItem}]
16086 @*Return type: 
16087 List@footnote{https://docs.python.org/3.6/library/typing.html#typing.List}[GenericInterfaceItem@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.GenericInterfaceItem}]
16089 @end deffn
16091 @geindex Identifier (pyGHDL.dom.InterfaceItem.GenericFunctionInterfaceItem property)
16092 @anchor{pyGHDL/pyGHDL dom InterfaceItem pyGHDL dom InterfaceItem GenericFunctionInterfaceItem Identifier}@anchor{658}
16093 @deffn {Property} Identifier:  str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
16095 Returns a model entity’s identifier (name).
16097 @*Return type: 
16098 str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
16100 @end deffn
16102 @geindex IsPure (pyGHDL.dom.InterfaceItem.GenericFunctionInterfaceItem property)
16103 @anchor{pyGHDL/pyGHDL dom InterfaceItem pyGHDL dom InterfaceItem GenericFunctionInterfaceItem IsPure}@anchor{659}
16104 @deffn {Property} IsPure:  bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
16106 @*Return type: 
16107 bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
16109 @end deffn
16111 @geindex ParameterItems (pyGHDL.dom.InterfaceItem.GenericFunctionInterfaceItem property)
16112 @anchor{pyGHDL/pyGHDL dom InterfaceItem pyGHDL dom InterfaceItem GenericFunctionInterfaceItem ParameterItems}@anchor{65a}
16113 @deffn {Property} ParameterItems:  List[pyVHDLModel.SyntaxModel.ParameterInterfaceItem@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.ParameterInterfaceItem}]
16115 @*Return type: 
16116 List@footnote{https://docs.python.org/3.6/library/typing.html#typing.List}[ParameterInterfaceItem@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.ParameterInterfaceItem}]
16118 @end deffn
16120 @geindex Parent (pyGHDL.dom.InterfaceItem.GenericFunctionInterfaceItem property)
16121 @anchor{pyGHDL/pyGHDL dom InterfaceItem pyGHDL dom InterfaceItem GenericFunctionInterfaceItem Parent}@anchor{65b}
16122 @deffn {Property} Parent:  pyVHDLModel.ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
16124 Returns a reference to the parent entity.
16126 @*Return type: 
16127 ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
16129 @end deffn
16131 @geindex Position (pyGHDL.dom.InterfaceItem.GenericFunctionInterfaceItem property)
16132 @anchor{pyGHDL/pyGHDL dom InterfaceItem pyGHDL dom InterfaceItem GenericFunctionInterfaceItem Position}@anchor{65c}
16133 @deffn {Property} Position:  @ref{200,,pyGHDL.dom.Position}
16135 @*Return type: 
16136 @ref{200,,Position}
16138 @end deffn
16140 @geindex ReturnType (pyGHDL.dom.InterfaceItem.GenericFunctionInterfaceItem property)
16141 @anchor{pyGHDL/pyGHDL dom InterfaceItem pyGHDL dom InterfaceItem GenericFunctionInterfaceItem ReturnType}@anchor{65d}
16142 @deffn {Property} ReturnType:  pyVHDLModel.SyntaxModel.Subtype@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Subtype}
16144 @*Return type: 
16145 Subtype@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Subtype}
16147 @end deffn
16149 @geindex Statements (pyGHDL.dom.InterfaceItem.GenericFunctionInterfaceItem property)
16150 @anchor{pyGHDL/pyGHDL dom InterfaceItem pyGHDL dom InterfaceItem GenericFunctionInterfaceItem Statements}@anchor{65e}
16151 @deffn {Property} Statements:  List[pyVHDLModel.SyntaxModel.SequentialStatement@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.SequentialStatement}]
16153 @*Return type: 
16154 List@footnote{https://docs.python.org/3.6/library/typing.html#typing.List}[SequentialStatement@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.SequentialStatement}]
16156 @end deffn
16158 @geindex _position (pyGHDL.dom.InterfaceItem.GenericFunctionInterfaceItem attribute)
16159 @anchor{pyGHDL/pyGHDL dom InterfaceItem pyGHDL dom InterfaceItem GenericFunctionInterfaceItem _position}@anchor{65f}
16160 @deffn {Attribute} _position:  @ref{200,,Position}  =  None
16161 @end deffn
16163 @geindex _returnType (pyGHDL.dom.InterfaceItem.GenericFunctionInterfaceItem attribute)
16164 @anchor{pyGHDL/pyGHDL dom InterfaceItem pyGHDL dom InterfaceItem GenericFunctionInterfaceItem _returnType}@anchor{660}
16165 @deffn {Attribute} _returnType:  pyVHDLModel.SyntaxModel.Subtype@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Subtype}
16166 @end deffn
16168 @geindex _genericItems (pyGHDL.dom.InterfaceItem.GenericFunctionInterfaceItem attribute)
16169 @anchor{pyGHDL/pyGHDL dom InterfaceItem pyGHDL dom InterfaceItem GenericFunctionInterfaceItem _genericItems}@anchor{661}
16170 @deffn {Attribute} _genericItems:  List['GenericInterfaceItem']
16171 @end deffn
16173 @geindex _parameterItems (pyGHDL.dom.InterfaceItem.GenericFunctionInterfaceItem attribute)
16174 @anchor{pyGHDL/pyGHDL dom InterfaceItem pyGHDL dom InterfaceItem GenericFunctionInterfaceItem _parameterItems}@anchor{662}
16175 @deffn {Attribute} _parameterItems:  List['ParameterInterfaceItem']
16176 @end deffn
16178 @geindex _declaredItems (pyGHDL.dom.InterfaceItem.GenericFunctionInterfaceItem attribute)
16179 @anchor{pyGHDL/pyGHDL dom InterfaceItem pyGHDL dom InterfaceItem GenericFunctionInterfaceItem _declaredItems}@anchor{663}
16180 @deffn {Attribute} _declaredItems:  List
16181 @end deffn
16183 @geindex _statements (pyGHDL.dom.InterfaceItem.GenericFunctionInterfaceItem attribute)
16184 @anchor{pyGHDL/pyGHDL dom InterfaceItem pyGHDL dom InterfaceItem GenericFunctionInterfaceItem _statements}@anchor{664}
16185 @deffn {Attribute} _statements:  List['SequentialStatement']
16186 @end deffn
16188 @geindex _isPure (pyGHDL.dom.InterfaceItem.GenericFunctionInterfaceItem attribute)
16189 @anchor{pyGHDL/pyGHDL dom InterfaceItem pyGHDL dom InterfaceItem GenericFunctionInterfaceItem _isPure}@anchor{665}
16190 @deffn {Attribute} _isPure:  bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
16191 @end deffn
16193 @geindex _parent (pyGHDL.dom.InterfaceItem.GenericFunctionInterfaceItem attribute)
16194 @anchor{pyGHDL/pyGHDL dom InterfaceItem pyGHDL dom InterfaceItem GenericFunctionInterfaceItem _parent}@anchor{666}
16195 @deffn {Attribute} _parent:  ModelEntity
16197 Reference to a parent entity in the model.
16198 @end deffn
16200 @geindex _identifier (pyGHDL.dom.InterfaceItem.GenericFunctionInterfaceItem attribute)
16201 @anchor{pyGHDL/pyGHDL dom InterfaceItem pyGHDL dom InterfaceItem GenericFunctionInterfaceItem _identifier}@anchor{667}
16202 @deffn {Attribute} _identifier:  str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
16204 The identifier of a model entity.
16205 @end deffn
16207 @geindex _iirNode (pyGHDL.dom.InterfaceItem.GenericFunctionInterfaceItem attribute)
16208 @anchor{pyGHDL/pyGHDL dom InterfaceItem pyGHDL dom InterfaceItem GenericFunctionInterfaceItem _iirNode}@anchor{668}
16209 @deffn {Attribute} _iirNode:  Iir
16210 @end deffn
16211 @end deffn
16213 @geindex PortSignalInterfaceItem (class in pyGHDL.dom.InterfaceItem)
16214 @anchor{pyGHDL/pyGHDL dom InterfaceItem pyGHDL dom InterfaceItem PortSignalInterfaceItem}@anchor{624}
16215 @deffn {Class} pyGHDL.dom.InterfaceItem.PortSignalInterfaceItem (node, identifiers, mode, subtype, defaultExpression=None)
16217 @subsubheading Inheritance
16219 @image{inheritance-7d49346699ae6b1550042a1fbacf8cc7963e4aae,,,[graphviz],png}
16221 @subsubheading Members
16224 @geindex parse() (pyGHDL.dom.InterfaceItem.PortSignalInterfaceItem class method)
16225 @anchor{pyGHDL/pyGHDL dom InterfaceItem pyGHDL dom InterfaceItem PortSignalInterfaceItem parse}@anchor{669}
16226 @deffn {Method} classmethod  parse (portNode)
16228 @*Return type: 
16229 @ref{624,,PortSignalInterfaceItem}
16231 @end deffn
16233 @geindex DefaultExpression (pyGHDL.dom.InterfaceItem.PortSignalInterfaceItem property)
16234 @anchor{pyGHDL/pyGHDL dom InterfaceItem pyGHDL dom InterfaceItem PortSignalInterfaceItem DefaultExpression}@anchor{66a}
16235 @deffn {Property} DefaultExpression:  Union[BaseExpression,  @ref{203,,QualifiedExpression},  @ref{204,,FunctionCall},  @ref{205,,TypeConversion},  @ref{206,,Constant},  ConstantSymbol,  @ref{207,,Variable},  VariableSymbol,  @ref{208,,Signal},  SignalSymbol,  Literal]
16237 @*Return type: 
16238 Union@footnote{https://docs.python.org/3.6/library/typing.html#typing.Union}[BaseExpression@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.BaseExpression}, QualifiedExpression@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.QualifiedExpression}, FunctionCall@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.FunctionCall}, TypeConversion@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.TypeConversion}, Constant@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Constant}, ConstantSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.ConstantSymbol}, Variable@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Variable}, VariableSymbol, Signal@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Signal}, SignalSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.SignalSymbol}, @code{Literal}]
16240 @end deffn
16242 @geindex Identifiers (pyGHDL.dom.InterfaceItem.PortSignalInterfaceItem property)
16243 @anchor{pyGHDL/pyGHDL dom InterfaceItem pyGHDL dom InterfaceItem PortSignalInterfaceItem Identifiers}@anchor{66b}
16244 @deffn {Property} Identifiers:  List[str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}]
16246 Returns a model entity’s list of identifiers (name).
16248 @*Return type: 
16249 List@footnote{https://docs.python.org/3.6/library/typing.html#typing.List}[str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}]
16251 @end deffn
16253 @geindex Mode (pyGHDL.dom.InterfaceItem.PortSignalInterfaceItem property)
16254 @anchor{pyGHDL/pyGHDL dom InterfaceItem pyGHDL dom InterfaceItem PortSignalInterfaceItem Mode}@anchor{66c}
16255 @deffn {Property} Mode:  pyVHDLModel.Mode@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.Mode}
16257 @*Return type: 
16258 Mode@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.Mode}
16260 @end deffn
16262 @geindex Parent (pyGHDL.dom.InterfaceItem.PortSignalInterfaceItem property)
16263 @anchor{pyGHDL/pyGHDL dom InterfaceItem pyGHDL dom InterfaceItem PortSignalInterfaceItem Parent}@anchor{66d}
16264 @deffn {Property} Parent:  pyVHDLModel.ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
16266 Returns a reference to the parent entity.
16268 @*Return type: 
16269 ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
16271 @end deffn
16273 @geindex Position (pyGHDL.dom.InterfaceItem.PortSignalInterfaceItem property)
16274 @anchor{pyGHDL/pyGHDL dom InterfaceItem pyGHDL dom InterfaceItem PortSignalInterfaceItem Position}@anchor{66e}
16275 @deffn {Property} Position:  @ref{200,,pyGHDL.dom.Position}
16277 @*Return type: 
16278 @ref{200,,Position}
16280 @end deffn
16282 @geindex Subtype (pyGHDL.dom.InterfaceItem.PortSignalInterfaceItem property)
16283 @anchor{pyGHDL/pyGHDL dom InterfaceItem pyGHDL dom InterfaceItem PortSignalInterfaceItem Subtype}@anchor{66f}
16284 @deffn {Property} Subtype:  Union[pyVHDLModel.SyntaxModel.Subtype@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Subtype},  pyVHDLModel.SyntaxModel.SubtypeSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.SubtypeSymbol}]
16286 @*Return type: 
16287 Union@footnote{https://docs.python.org/3.6/library/typing.html#typing.Union}[Subtype@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Subtype}, SubtypeSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.SubtypeSymbol}]
16289 @end deffn
16291 @geindex _position (pyGHDL.dom.InterfaceItem.PortSignalInterfaceItem attribute)
16292 @anchor{pyGHDL/pyGHDL dom InterfaceItem pyGHDL dom InterfaceItem PortSignalInterfaceItem _position}@anchor{670}
16293 @deffn {Attribute} _position:  @ref{200,,Position}  =  None
16294 @end deffn
16296 @geindex _subtype (pyGHDL.dom.InterfaceItem.PortSignalInterfaceItem attribute)
16297 @anchor{pyGHDL/pyGHDL dom InterfaceItem pyGHDL dom InterfaceItem PortSignalInterfaceItem _subtype}@anchor{671}
16298 @deffn {Attribute} _subtype:  Union[@ref{239,,Subtype},  SubtypeSymbol]
16299 @end deffn
16301 @geindex _parent (pyGHDL.dom.InterfaceItem.PortSignalInterfaceItem attribute)
16302 @anchor{pyGHDL/pyGHDL dom InterfaceItem pyGHDL dom InterfaceItem PortSignalInterfaceItem _parent}@anchor{672}
16303 @deffn {Attribute} _parent:  ModelEntity
16305 Reference to a parent entity in the model.
16306 @end deffn
16308 @geindex _identifiers (pyGHDL.dom.InterfaceItem.PortSignalInterfaceItem attribute)
16309 @anchor{pyGHDL/pyGHDL dom InterfaceItem pyGHDL dom InterfaceItem PortSignalInterfaceItem _identifiers}@anchor{673}
16310 @deffn {Attribute} _identifiers:  List[str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}]
16312 A list of identifiers.
16313 @end deffn
16315 @geindex _defaultExpression (pyGHDL.dom.InterfaceItem.PortSignalInterfaceItem attribute)
16316 @anchor{pyGHDL/pyGHDL dom InterfaceItem pyGHDL dom InterfaceItem PortSignalInterfaceItem _defaultExpression}@anchor{674}
16317 @deffn {Attribute} _defaultExpression:  ExpressionUnion
16318 @end deffn
16320 @geindex _mode (pyGHDL.dom.InterfaceItem.PortSignalInterfaceItem attribute)
16321 @anchor{pyGHDL/pyGHDL dom InterfaceItem pyGHDL dom InterfaceItem PortSignalInterfaceItem _mode}@anchor{675}
16322 @deffn {Attribute} _mode:  Mode
16323 @end deffn
16325 @geindex _iirNode (pyGHDL.dom.InterfaceItem.PortSignalInterfaceItem attribute)
16326 @anchor{pyGHDL/pyGHDL dom InterfaceItem pyGHDL dom InterfaceItem PortSignalInterfaceItem _iirNode}@anchor{676}
16327 @deffn {Attribute} _iirNode:  Iir
16328 @end deffn
16329 @end deffn
16331 @geindex ParameterConstantInterfaceItem (class in pyGHDL.dom.InterfaceItem)
16332 @anchor{pyGHDL/pyGHDL dom InterfaceItem pyGHDL dom InterfaceItem ParameterConstantInterfaceItem}@anchor{625}
16333 @deffn {Class} pyGHDL.dom.InterfaceItem.ParameterConstantInterfaceItem (node, identifiers, mode, subtype, defaultExpression=None)
16335 @subsubheading Inheritance
16337 @image{inheritance-5b028f618a6ac5085f013295bd27b02f1fa3fdd6,,,[graphviz],png}
16339 @subsubheading Members
16342 @geindex parse() (pyGHDL.dom.InterfaceItem.ParameterConstantInterfaceItem class method)
16343 @anchor{pyGHDL/pyGHDL dom InterfaceItem pyGHDL dom InterfaceItem ParameterConstantInterfaceItem parse}@anchor{677}
16344 @deffn {Method} classmethod  parse (parameterNode)
16346 @*Return type: 
16347 @ref{625,,ParameterConstantInterfaceItem}
16349 @end deffn
16351 @geindex DefaultExpression (pyGHDL.dom.InterfaceItem.ParameterConstantInterfaceItem property)
16352 @anchor{pyGHDL/pyGHDL dom InterfaceItem pyGHDL dom InterfaceItem ParameterConstantInterfaceItem DefaultExpression}@anchor{678}
16353 @deffn {Property} DefaultExpression:  Union[BaseExpression,  @ref{203,,QualifiedExpression},  @ref{204,,FunctionCall},  @ref{205,,TypeConversion},  @ref{206,,Constant},  ConstantSymbol,  @ref{207,,Variable},  VariableSymbol,  @ref{208,,Signal},  SignalSymbol,  Literal]
16355 @*Return type: 
16356 Union@footnote{https://docs.python.org/3.6/library/typing.html#typing.Union}[BaseExpression@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.BaseExpression}, QualifiedExpression@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.QualifiedExpression}, FunctionCall@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.FunctionCall}, TypeConversion@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.TypeConversion}, Constant@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Constant}, ConstantSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.ConstantSymbol}, Variable@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Variable}, VariableSymbol, Signal@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Signal}, SignalSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.SignalSymbol}, @code{Literal}]
16358 @end deffn
16360 @geindex Identifiers (pyGHDL.dom.InterfaceItem.ParameterConstantInterfaceItem property)
16361 @anchor{pyGHDL/pyGHDL dom InterfaceItem pyGHDL dom InterfaceItem ParameterConstantInterfaceItem Identifiers}@anchor{679}
16362 @deffn {Property} Identifiers:  List[str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}]
16364 Returns a model entity’s list of identifiers (name).
16366 @*Return type: 
16367 List@footnote{https://docs.python.org/3.6/library/typing.html#typing.List}[str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}]
16369 @end deffn
16371 @geindex Mode (pyGHDL.dom.InterfaceItem.ParameterConstantInterfaceItem property)
16372 @anchor{pyGHDL/pyGHDL dom InterfaceItem pyGHDL dom InterfaceItem ParameterConstantInterfaceItem Mode}@anchor{67a}
16373 @deffn {Property} Mode:  pyVHDLModel.Mode@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.Mode}
16375 @*Return type: 
16376 Mode@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.Mode}
16378 @end deffn
16380 @geindex Parent (pyGHDL.dom.InterfaceItem.ParameterConstantInterfaceItem property)
16381 @anchor{pyGHDL/pyGHDL dom InterfaceItem pyGHDL dom InterfaceItem ParameterConstantInterfaceItem Parent}@anchor{67b}
16382 @deffn {Property} Parent:  pyVHDLModel.ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
16384 Returns a reference to the parent entity.
16386 @*Return type: 
16387 ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
16389 @end deffn
16391 @geindex Position (pyGHDL.dom.InterfaceItem.ParameterConstantInterfaceItem property)
16392 @anchor{pyGHDL/pyGHDL dom InterfaceItem pyGHDL dom InterfaceItem ParameterConstantInterfaceItem Position}@anchor{67c}
16393 @deffn {Property} Position:  @ref{200,,pyGHDL.dom.Position}
16395 @*Return type: 
16396 @ref{200,,Position}
16398 @end deffn
16400 @geindex Subtype (pyGHDL.dom.InterfaceItem.ParameterConstantInterfaceItem property)
16401 @anchor{pyGHDL/pyGHDL dom InterfaceItem pyGHDL dom InterfaceItem ParameterConstantInterfaceItem Subtype}@anchor{67d}
16402 @deffn {Property} Subtype:  Union[pyVHDLModel.SyntaxModel.Subtype@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Subtype},  pyVHDLModel.SyntaxModel.SubtypeSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.SubtypeSymbol}]
16404 @*Return type: 
16405 Union@footnote{https://docs.python.org/3.6/library/typing.html#typing.Union}[Subtype@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Subtype}, SubtypeSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.SubtypeSymbol}]
16407 @end deffn
16409 @geindex _position (pyGHDL.dom.InterfaceItem.ParameterConstantInterfaceItem attribute)
16410 @anchor{pyGHDL/pyGHDL dom InterfaceItem pyGHDL dom InterfaceItem ParameterConstantInterfaceItem _position}@anchor{67e}
16411 @deffn {Attribute} _position:  @ref{200,,Position}  =  None
16412 @end deffn
16414 @geindex _subtype (pyGHDL.dom.InterfaceItem.ParameterConstantInterfaceItem attribute)
16415 @anchor{pyGHDL/pyGHDL dom InterfaceItem pyGHDL dom InterfaceItem ParameterConstantInterfaceItem _subtype}@anchor{67f}
16416 @deffn {Attribute} _subtype:  Union[@ref{239,,Subtype},  SubtypeSymbol]
16417 @end deffn
16419 @geindex _parent (pyGHDL.dom.InterfaceItem.ParameterConstantInterfaceItem attribute)
16420 @anchor{pyGHDL/pyGHDL dom InterfaceItem pyGHDL dom InterfaceItem ParameterConstantInterfaceItem _parent}@anchor{680}
16421 @deffn {Attribute} _parent:  ModelEntity
16423 Reference to a parent entity in the model.
16424 @end deffn
16426 @geindex _identifiers (pyGHDL.dom.InterfaceItem.ParameterConstantInterfaceItem attribute)
16427 @anchor{pyGHDL/pyGHDL dom InterfaceItem pyGHDL dom InterfaceItem ParameterConstantInterfaceItem _identifiers}@anchor{681}
16428 @deffn {Attribute} _identifiers:  List[str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}]
16430 A list of identifiers.
16431 @end deffn
16433 @geindex _defaultExpression (pyGHDL.dom.InterfaceItem.ParameterConstantInterfaceItem attribute)
16434 @anchor{pyGHDL/pyGHDL dom InterfaceItem pyGHDL dom InterfaceItem ParameterConstantInterfaceItem _defaultExpression}@anchor{682}
16435 @deffn {Attribute} _defaultExpression:  ExpressionUnion
16436 @end deffn
16438 @geindex _mode (pyGHDL.dom.InterfaceItem.ParameterConstantInterfaceItem attribute)
16439 @anchor{pyGHDL/pyGHDL dom InterfaceItem pyGHDL dom InterfaceItem ParameterConstantInterfaceItem _mode}@anchor{683}
16440 @deffn {Attribute} _mode:  Mode
16441 @end deffn
16443 @geindex _iirNode (pyGHDL.dom.InterfaceItem.ParameterConstantInterfaceItem attribute)
16444 @anchor{pyGHDL/pyGHDL dom InterfaceItem pyGHDL dom InterfaceItem ParameterConstantInterfaceItem _iirNode}@anchor{684}
16445 @deffn {Attribute} _iirNode:  Iir
16446 @end deffn
16447 @end deffn
16449 @geindex ParameterVariableInterfaceItem (class in pyGHDL.dom.InterfaceItem)
16450 @anchor{pyGHDL/pyGHDL dom InterfaceItem pyGHDL dom InterfaceItem ParameterVariableInterfaceItem}@anchor{626}
16451 @deffn {Class} pyGHDL.dom.InterfaceItem.ParameterVariableInterfaceItem (node, identifiers, mode, subtype, defaultExpression=None)
16453 @subsubheading Inheritance
16455 @image{inheritance-f59831f1ebf4f2a5ff6d66b0f877bb764c860934,,,[graphviz],png}
16457 @subsubheading Members
16460 @geindex parse() (pyGHDL.dom.InterfaceItem.ParameterVariableInterfaceItem class method)
16461 @anchor{pyGHDL/pyGHDL dom InterfaceItem pyGHDL dom InterfaceItem ParameterVariableInterfaceItem parse}@anchor{685}
16462 @deffn {Method} classmethod  parse (parameterNode)
16464 @*Return type: 
16465 @ref{626,,ParameterVariableInterfaceItem}
16467 @end deffn
16469 @geindex DefaultExpression (pyGHDL.dom.InterfaceItem.ParameterVariableInterfaceItem property)
16470 @anchor{pyGHDL/pyGHDL dom InterfaceItem pyGHDL dom InterfaceItem ParameterVariableInterfaceItem DefaultExpression}@anchor{686}
16471 @deffn {Property} DefaultExpression:  Union[BaseExpression,  @ref{203,,QualifiedExpression},  @ref{204,,FunctionCall},  @ref{205,,TypeConversion},  @ref{206,,Constant},  ConstantSymbol,  @ref{207,,Variable},  VariableSymbol,  @ref{208,,Signal},  SignalSymbol,  Literal]
16473 @*Return type: 
16474 Union@footnote{https://docs.python.org/3.6/library/typing.html#typing.Union}[BaseExpression@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.BaseExpression}, QualifiedExpression@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.QualifiedExpression}, FunctionCall@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.FunctionCall}, TypeConversion@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.TypeConversion}, Constant@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Constant}, ConstantSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.ConstantSymbol}, Variable@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Variable}, VariableSymbol, Signal@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Signal}, SignalSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.SignalSymbol}, @code{Literal}]
16476 @end deffn
16478 @geindex Identifiers (pyGHDL.dom.InterfaceItem.ParameterVariableInterfaceItem property)
16479 @anchor{pyGHDL/pyGHDL dom InterfaceItem pyGHDL dom InterfaceItem ParameterVariableInterfaceItem Identifiers}@anchor{687}
16480 @deffn {Property} Identifiers:  List[str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}]
16482 Returns a model entity’s list of identifiers (name).
16484 @*Return type: 
16485 List@footnote{https://docs.python.org/3.6/library/typing.html#typing.List}[str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}]
16487 @end deffn
16489 @geindex Mode (pyGHDL.dom.InterfaceItem.ParameterVariableInterfaceItem property)
16490 @anchor{pyGHDL/pyGHDL dom InterfaceItem pyGHDL dom InterfaceItem ParameterVariableInterfaceItem Mode}@anchor{688}
16491 @deffn {Property} Mode:  pyVHDLModel.Mode@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.Mode}
16493 @*Return type: 
16494 Mode@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.Mode}
16496 @end deffn
16498 @geindex Parent (pyGHDL.dom.InterfaceItem.ParameterVariableInterfaceItem property)
16499 @anchor{pyGHDL/pyGHDL dom InterfaceItem pyGHDL dom InterfaceItem ParameterVariableInterfaceItem Parent}@anchor{689}
16500 @deffn {Property} Parent:  pyVHDLModel.ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
16502 Returns a reference to the parent entity.
16504 @*Return type: 
16505 ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
16507 @end deffn
16509 @geindex Position (pyGHDL.dom.InterfaceItem.ParameterVariableInterfaceItem property)
16510 @anchor{pyGHDL/pyGHDL dom InterfaceItem pyGHDL dom InterfaceItem ParameterVariableInterfaceItem Position}@anchor{68a}
16511 @deffn {Property} Position:  @ref{200,,pyGHDL.dom.Position}
16513 @*Return type: 
16514 @ref{200,,Position}
16516 @end deffn
16518 @geindex Subtype (pyGHDL.dom.InterfaceItem.ParameterVariableInterfaceItem property)
16519 @anchor{pyGHDL/pyGHDL dom InterfaceItem pyGHDL dom InterfaceItem ParameterVariableInterfaceItem Subtype}@anchor{68b}
16520 @deffn {Property} Subtype:  Union[pyVHDLModel.SyntaxModel.Subtype@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Subtype},  pyVHDLModel.SyntaxModel.SubtypeSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.SubtypeSymbol}]
16522 @*Return type: 
16523 Union@footnote{https://docs.python.org/3.6/library/typing.html#typing.Union}[Subtype@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Subtype}, SubtypeSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.SubtypeSymbol}]
16525 @end deffn
16527 @geindex _position (pyGHDL.dom.InterfaceItem.ParameterVariableInterfaceItem attribute)
16528 @anchor{pyGHDL/pyGHDL dom InterfaceItem pyGHDL dom InterfaceItem ParameterVariableInterfaceItem _position}@anchor{68c}
16529 @deffn {Attribute} _position:  @ref{200,,Position}  =  None
16530 @end deffn
16532 @geindex _subtype (pyGHDL.dom.InterfaceItem.ParameterVariableInterfaceItem attribute)
16533 @anchor{pyGHDL/pyGHDL dom InterfaceItem pyGHDL dom InterfaceItem ParameterVariableInterfaceItem _subtype}@anchor{68d}
16534 @deffn {Attribute} _subtype:  Union[@ref{239,,Subtype},  SubtypeSymbol]
16535 @end deffn
16537 @geindex _parent (pyGHDL.dom.InterfaceItem.ParameterVariableInterfaceItem attribute)
16538 @anchor{pyGHDL/pyGHDL dom InterfaceItem pyGHDL dom InterfaceItem ParameterVariableInterfaceItem _parent}@anchor{68e}
16539 @deffn {Attribute} _parent:  ModelEntity
16541 Reference to a parent entity in the model.
16542 @end deffn
16544 @geindex _identifiers (pyGHDL.dom.InterfaceItem.ParameterVariableInterfaceItem attribute)
16545 @anchor{pyGHDL/pyGHDL dom InterfaceItem pyGHDL dom InterfaceItem ParameterVariableInterfaceItem _identifiers}@anchor{68f}
16546 @deffn {Attribute} _identifiers:  List[str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}]
16548 A list of identifiers.
16549 @end deffn
16551 @geindex _defaultExpression (pyGHDL.dom.InterfaceItem.ParameterVariableInterfaceItem attribute)
16552 @anchor{pyGHDL/pyGHDL dom InterfaceItem pyGHDL dom InterfaceItem ParameterVariableInterfaceItem _defaultExpression}@anchor{690}
16553 @deffn {Attribute} _defaultExpression:  ExpressionUnion
16554 @end deffn
16556 @geindex _mode (pyGHDL.dom.InterfaceItem.ParameterVariableInterfaceItem attribute)
16557 @anchor{pyGHDL/pyGHDL dom InterfaceItem pyGHDL dom InterfaceItem ParameterVariableInterfaceItem _mode}@anchor{691}
16558 @deffn {Attribute} _mode:  Mode
16559 @end deffn
16561 @geindex _iirNode (pyGHDL.dom.InterfaceItem.ParameterVariableInterfaceItem attribute)
16562 @anchor{pyGHDL/pyGHDL dom InterfaceItem pyGHDL dom InterfaceItem ParameterVariableInterfaceItem _iirNode}@anchor{692}
16563 @deffn {Attribute} _iirNode:  Iir
16564 @end deffn
16565 @end deffn
16567 @geindex ParameterSignalInterfaceItem (class in pyGHDL.dom.InterfaceItem)
16568 @anchor{pyGHDL/pyGHDL dom InterfaceItem pyGHDL dom InterfaceItem ParameterSignalInterfaceItem}@anchor{627}
16569 @deffn {Class} pyGHDL.dom.InterfaceItem.ParameterSignalInterfaceItem (node, identifiers, mode, subtype, defaultExpression=None)
16571 @subsubheading Inheritance
16573 @image{inheritance-64964d100b852b59e10ffd994c63b96fc5ce82c4,,,[graphviz],png}
16575 @subsubheading Members
16578 @geindex parse() (pyGHDL.dom.InterfaceItem.ParameterSignalInterfaceItem class method)
16579 @anchor{pyGHDL/pyGHDL dom InterfaceItem pyGHDL dom InterfaceItem ParameterSignalInterfaceItem parse}@anchor{693}
16580 @deffn {Method} classmethod  parse (parameterNode)
16582 @*Return type: 
16583 @ref{627,,ParameterSignalInterfaceItem}
16585 @end deffn
16587 @geindex DefaultExpression (pyGHDL.dom.InterfaceItem.ParameterSignalInterfaceItem property)
16588 @anchor{pyGHDL/pyGHDL dom InterfaceItem pyGHDL dom InterfaceItem ParameterSignalInterfaceItem DefaultExpression}@anchor{694}
16589 @deffn {Property} DefaultExpression:  Union[BaseExpression,  @ref{203,,QualifiedExpression},  @ref{204,,FunctionCall},  @ref{205,,TypeConversion},  @ref{206,,Constant},  ConstantSymbol,  @ref{207,,Variable},  VariableSymbol,  @ref{208,,Signal},  SignalSymbol,  Literal]
16591 @*Return type: 
16592 Union@footnote{https://docs.python.org/3.6/library/typing.html#typing.Union}[BaseExpression@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.BaseExpression}, QualifiedExpression@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.QualifiedExpression}, FunctionCall@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.FunctionCall}, TypeConversion@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.TypeConversion}, Constant@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Constant}, ConstantSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.ConstantSymbol}, Variable@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Variable}, VariableSymbol, Signal@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Signal}, SignalSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.SignalSymbol}, @code{Literal}]
16594 @end deffn
16596 @geindex Identifiers (pyGHDL.dom.InterfaceItem.ParameterSignalInterfaceItem property)
16597 @anchor{pyGHDL/pyGHDL dom InterfaceItem pyGHDL dom InterfaceItem ParameterSignalInterfaceItem Identifiers}@anchor{695}
16598 @deffn {Property} Identifiers:  List[str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}]
16600 Returns a model entity’s list of identifiers (name).
16602 @*Return type: 
16603 List@footnote{https://docs.python.org/3.6/library/typing.html#typing.List}[str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}]
16605 @end deffn
16607 @geindex Mode (pyGHDL.dom.InterfaceItem.ParameterSignalInterfaceItem property)
16608 @anchor{pyGHDL/pyGHDL dom InterfaceItem pyGHDL dom InterfaceItem ParameterSignalInterfaceItem Mode}@anchor{696}
16609 @deffn {Property} Mode:  pyVHDLModel.Mode@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.Mode}
16611 @*Return type: 
16612 Mode@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.Mode}
16614 @end deffn
16616 @geindex Parent (pyGHDL.dom.InterfaceItem.ParameterSignalInterfaceItem property)
16617 @anchor{pyGHDL/pyGHDL dom InterfaceItem pyGHDL dom InterfaceItem ParameterSignalInterfaceItem Parent}@anchor{697}
16618 @deffn {Property} Parent:  pyVHDLModel.ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
16620 Returns a reference to the parent entity.
16622 @*Return type: 
16623 ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
16625 @end deffn
16627 @geindex Position (pyGHDL.dom.InterfaceItem.ParameterSignalInterfaceItem property)
16628 @anchor{pyGHDL/pyGHDL dom InterfaceItem pyGHDL dom InterfaceItem ParameterSignalInterfaceItem Position}@anchor{698}
16629 @deffn {Property} Position:  @ref{200,,pyGHDL.dom.Position}
16631 @*Return type: 
16632 @ref{200,,Position}
16634 @end deffn
16636 @geindex Subtype (pyGHDL.dom.InterfaceItem.ParameterSignalInterfaceItem property)
16637 @anchor{pyGHDL/pyGHDL dom InterfaceItem pyGHDL dom InterfaceItem ParameterSignalInterfaceItem Subtype}@anchor{699}
16638 @deffn {Property} Subtype:  Union[pyVHDLModel.SyntaxModel.Subtype@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Subtype},  pyVHDLModel.SyntaxModel.SubtypeSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.SubtypeSymbol}]
16640 @*Return type: 
16641 Union@footnote{https://docs.python.org/3.6/library/typing.html#typing.Union}[Subtype@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Subtype}, SubtypeSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.SubtypeSymbol}]
16643 @end deffn
16645 @geindex _position (pyGHDL.dom.InterfaceItem.ParameterSignalInterfaceItem attribute)
16646 @anchor{pyGHDL/pyGHDL dom InterfaceItem pyGHDL dom InterfaceItem ParameterSignalInterfaceItem _position}@anchor{69a}
16647 @deffn {Attribute} _position:  @ref{200,,Position}  =  None
16648 @end deffn
16650 @geindex _subtype (pyGHDL.dom.InterfaceItem.ParameterSignalInterfaceItem attribute)
16651 @anchor{pyGHDL/pyGHDL dom InterfaceItem pyGHDL dom InterfaceItem ParameterSignalInterfaceItem _subtype}@anchor{69b}
16652 @deffn {Attribute} _subtype:  Union[@ref{239,,Subtype},  SubtypeSymbol]
16653 @end deffn
16655 @geindex _parent (pyGHDL.dom.InterfaceItem.ParameterSignalInterfaceItem attribute)
16656 @anchor{pyGHDL/pyGHDL dom InterfaceItem pyGHDL dom InterfaceItem ParameterSignalInterfaceItem _parent}@anchor{69c}
16657 @deffn {Attribute} _parent:  ModelEntity
16659 Reference to a parent entity in the model.
16660 @end deffn
16662 @geindex _identifiers (pyGHDL.dom.InterfaceItem.ParameterSignalInterfaceItem attribute)
16663 @anchor{pyGHDL/pyGHDL dom InterfaceItem pyGHDL dom InterfaceItem ParameterSignalInterfaceItem _identifiers}@anchor{69d}
16664 @deffn {Attribute} _identifiers:  List[str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}]
16666 A list of identifiers.
16667 @end deffn
16669 @geindex _defaultExpression (pyGHDL.dom.InterfaceItem.ParameterSignalInterfaceItem attribute)
16670 @anchor{pyGHDL/pyGHDL dom InterfaceItem pyGHDL dom InterfaceItem ParameterSignalInterfaceItem _defaultExpression}@anchor{69e}
16671 @deffn {Attribute} _defaultExpression:  ExpressionUnion
16672 @end deffn
16674 @geindex _mode (pyGHDL.dom.InterfaceItem.ParameterSignalInterfaceItem attribute)
16675 @anchor{pyGHDL/pyGHDL dom InterfaceItem pyGHDL dom InterfaceItem ParameterSignalInterfaceItem _mode}@anchor{69f}
16676 @deffn {Attribute} _mode:  Mode
16677 @end deffn
16679 @geindex _iirNode (pyGHDL.dom.InterfaceItem.ParameterSignalInterfaceItem attribute)
16680 @anchor{pyGHDL/pyGHDL dom InterfaceItem pyGHDL dom InterfaceItem ParameterSignalInterfaceItem _iirNode}@anchor{6a0}
16681 @deffn {Attribute} _iirNode:  Iir
16682 @end deffn
16683 @end deffn
16685 @geindex ParameterFileInterfaceItem (class in pyGHDL.dom.InterfaceItem)
16686 @anchor{pyGHDL/pyGHDL dom InterfaceItem pyGHDL dom InterfaceItem ParameterFileInterfaceItem}@anchor{628}
16687 @deffn {Class} pyGHDL.dom.InterfaceItem.ParameterFileInterfaceItem (node, identifiers, subtype)
16689 @subsubheading Inheritance
16691 @image{inheritance-1277ce12fc9529c4ee666a504bec430cb8aef1c8,,,[graphviz],png}
16693 @subsubheading Members
16696 @geindex parse() (pyGHDL.dom.InterfaceItem.ParameterFileInterfaceItem class method)
16697 @anchor{pyGHDL/pyGHDL dom InterfaceItem pyGHDL dom InterfaceItem ParameterFileInterfaceItem parse}@anchor{6a1}
16698 @deffn {Method} classmethod  parse (parameterNode)
16700 @*Return type: 
16701 @ref{628,,ParameterFileInterfaceItem}
16703 @end deffn
16705 @geindex Identifiers (pyGHDL.dom.InterfaceItem.ParameterFileInterfaceItem property)
16706 @anchor{pyGHDL/pyGHDL dom InterfaceItem pyGHDL dom InterfaceItem ParameterFileInterfaceItem Identifiers}@anchor{6a2}
16707 @deffn {Property} Identifiers:  List[str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}]
16709 Returns a model entity’s list of identifiers (name).
16711 @*Return type: 
16712 List@footnote{https://docs.python.org/3.6/library/typing.html#typing.List}[str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}]
16714 @end deffn
16716 @geindex Parent (pyGHDL.dom.InterfaceItem.ParameterFileInterfaceItem property)
16717 @anchor{pyGHDL/pyGHDL dom InterfaceItem pyGHDL dom InterfaceItem ParameterFileInterfaceItem Parent}@anchor{6a3}
16718 @deffn {Property} Parent:  pyVHDLModel.ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
16720 Returns a reference to the parent entity.
16722 @*Return type: 
16723 ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
16725 @end deffn
16727 @geindex Position (pyGHDL.dom.InterfaceItem.ParameterFileInterfaceItem property)
16728 @anchor{pyGHDL/pyGHDL dom InterfaceItem pyGHDL dom InterfaceItem ParameterFileInterfaceItem Position}@anchor{6a4}
16729 @deffn {Property} Position:  @ref{200,,pyGHDL.dom.Position}
16731 @*Return type: 
16732 @ref{200,,Position}
16734 @end deffn
16736 @geindex Subtype (pyGHDL.dom.InterfaceItem.ParameterFileInterfaceItem property)
16737 @anchor{pyGHDL/pyGHDL dom InterfaceItem pyGHDL dom InterfaceItem ParameterFileInterfaceItem Subtype}@anchor{6a5}
16738 @deffn {Property} Subtype:  Union[pyVHDLModel.SyntaxModel.Subtype@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Subtype},  pyVHDLModel.SyntaxModel.SubtypeSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.SubtypeSymbol}]
16740 @*Return type: 
16741 Union@footnote{https://docs.python.org/3.6/library/typing.html#typing.Union}[Subtype@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Subtype}, SubtypeSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.SubtypeSymbol}]
16743 @end deffn
16745 @geindex _position (pyGHDL.dom.InterfaceItem.ParameterFileInterfaceItem attribute)
16746 @anchor{pyGHDL/pyGHDL dom InterfaceItem pyGHDL dom InterfaceItem ParameterFileInterfaceItem _position}@anchor{6a6}
16747 @deffn {Attribute} _position:  @ref{200,,Position}  =  None
16748 @end deffn
16750 @geindex _subtype (pyGHDL.dom.InterfaceItem.ParameterFileInterfaceItem attribute)
16751 @anchor{pyGHDL/pyGHDL dom InterfaceItem pyGHDL dom InterfaceItem ParameterFileInterfaceItem _subtype}@anchor{6a7}
16752 @deffn {Attribute} _subtype:  Union[@ref{239,,Subtype},  SubtypeSymbol]
16753 @end deffn
16755 @geindex _parent (pyGHDL.dom.InterfaceItem.ParameterFileInterfaceItem attribute)
16756 @anchor{pyGHDL/pyGHDL dom InterfaceItem pyGHDL dom InterfaceItem ParameterFileInterfaceItem _parent}@anchor{6a8}
16757 @deffn {Attribute} _parent:  ModelEntity
16759 Reference to a parent entity in the model.
16760 @end deffn
16762 @geindex _identifiers (pyGHDL.dom.InterfaceItem.ParameterFileInterfaceItem attribute)
16763 @anchor{pyGHDL/pyGHDL dom InterfaceItem pyGHDL dom InterfaceItem ParameterFileInterfaceItem _identifiers}@anchor{6a9}
16764 @deffn {Attribute} _identifiers:  List[str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}]
16766 A list of identifiers.
16767 @end deffn
16769 @geindex _iirNode (pyGHDL.dom.InterfaceItem.ParameterFileInterfaceItem attribute)
16770 @anchor{pyGHDL/pyGHDL dom InterfaceItem pyGHDL dom InterfaceItem ParameterFileInterfaceItem _iirNode}@anchor{6aa}
16771 @deffn {Attribute} _iirNode:  Iir
16772 @end deffn
16773 @end deffn
16775 @c # Load pre-defined aliases and graphical characters like © from docutils
16776 @c # <file> is used to denote the special path
16777 @c # <Python>\Lib\site-packages\docutils\parsers\rst\include
16779 @c This data file has been placed in the public domain.
16781 @c Derived from the Unicode character mappings available from
16782 @c <http://www.w3.org/2003/entities/xml/>.
16783 @c Processed by unicode2rstsubs.py, part of Docutils:
16784 @c <http://docutils.sourceforge.net>.
16786 @c This data file has been placed in the public domain.
16788 @c Derived from the Unicode character mappings available from
16789 @c <http://www.w3.org/2003/entities/xml/>.
16790 @c Processed by unicode2rstsubs.py, part of Docutils:
16791 @c <http://docutils.sourceforge.net>.
16793 @c # define a hard line break for HTML
16795 @node pyGHDL dom Literal,pyGHDL dom Misc,pyGHDL dom InterfaceItem,pyGHDL dom
16796 @anchor{pyGHDL/pyGHDL dom Literal doc}@anchor{6ab}@anchor{pyGHDL/pyGHDL dom Literal module-pyGHDL dom Literal}@anchor{f}@anchor{pyGHDL/pyGHDL dom Literal pyghdl-dom-literal}@anchor{6ac}
16797 @subsection pyGHDL.dom.Literal
16800 @geindex module; pyGHDL.dom.Literal
16802 @c #-----------------------------------
16804 @strong{Classes}
16807 @itemize -
16809 @item 
16810 @ref{6ad,,NullLiteral}:
16811 A @code{Literal} is a base-class for all literals.
16813 @item 
16814 @ref{6ae,,EnumerationLiteral}:
16815 A @code{Literal} is a base-class for all literals.
16817 @item 
16818 @ref{6af,,IntegerLiteral}:
16819 A @code{NumericLiteral} is a base-class for all numeric literals.
16821 @item 
16822 @ref{6b0,,FloatingPointLiteral}:
16823 A @code{NumericLiteral} is a base-class for all numeric literals.
16825 @item 
16826 @ref{6b1,,PhysicalIntegerLiteral}:
16827 A @code{NumericLiteral} is a base-class for all numeric literals.
16829 @item 
16830 @ref{6b2,,PhysicalFloatingLiteral}:
16831 A @code{NumericLiteral} is a base-class for all numeric literals.
16833 @item 
16834 @ref{6b3,,CharacterLiteral}:
16835 A @code{Literal} is a base-class for all literals.
16837 @item 
16838 @ref{6b4,,StringLiteral}:
16839 A @code{Literal} is a base-class for all literals.
16840 @end itemize
16842 @c #-----------------------------------
16844 @geindex NullLiteral (class in pyGHDL.dom.Literal)
16845 @anchor{pyGHDL/pyGHDL dom Literal pyGHDL dom Literal NullLiteral}@anchor{6ad}
16846 @deffn {Class} pyGHDL.dom.Literal.NullLiteral (node)
16848 @subsubheading Inheritance
16850 @image{inheritance-1aeb551b9b134f7763952e36404e30b0f3b47858,,,[graphviz],png}
16852 @subsubheading Members
16855 @geindex parse() (pyGHDL.dom.Literal.NullLiteral class method)
16856 @anchor{pyGHDL/pyGHDL dom Literal pyGHDL dom Literal NullLiteral parse}@anchor{6b5}
16857 @deffn {Method} classmethod  parse (node)
16859 @*Return type: 
16860 @ref{6ad,,NullLiteral}
16862 @end deffn
16864 @geindex Parent (pyGHDL.dom.Literal.NullLiteral property)
16865 @anchor{pyGHDL/pyGHDL dom Literal pyGHDL dom Literal NullLiteral Parent}@anchor{6b6}
16866 @deffn {Property} Parent:  pyVHDLModel.ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
16868 Returns a reference to the parent entity.
16870 @*Return type: 
16871 ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
16873 @end deffn
16875 @geindex Position (pyGHDL.dom.Literal.NullLiteral property)
16876 @anchor{pyGHDL/pyGHDL dom Literal pyGHDL dom Literal NullLiteral Position}@anchor{6b7}
16877 @deffn {Property} Position:  @ref{200,,pyGHDL.dom.Position}
16879 @*Return type: 
16880 @ref{200,,Position}
16882 @end deffn
16884 @geindex _position (pyGHDL.dom.Literal.NullLiteral attribute)
16885 @anchor{pyGHDL/pyGHDL dom Literal pyGHDL dom Literal NullLiteral _position}@anchor{6b8}
16886 @deffn {Attribute} _position:  @ref{200,,Position}  =  None
16887 @end deffn
16889 @geindex _parent (pyGHDL.dom.Literal.NullLiteral attribute)
16890 @anchor{pyGHDL/pyGHDL dom Literal pyGHDL dom Literal NullLiteral _parent}@anchor{6b9}
16891 @deffn {Attribute} _parent:  ModelEntity
16893 Reference to a parent entity in the model.
16894 @end deffn
16896 @geindex _iirNode (pyGHDL.dom.Literal.NullLiteral attribute)
16897 @anchor{pyGHDL/pyGHDL dom Literal pyGHDL dom Literal NullLiteral _iirNode}@anchor{6ba}
16898 @deffn {Attribute} _iirNode:  Iir
16899 @end deffn
16900 @end deffn
16902 @geindex EnumerationLiteral (class in pyGHDL.dom.Literal)
16903 @anchor{pyGHDL/pyGHDL dom Literal pyGHDL dom Literal EnumerationLiteral}@anchor{6ae}
16904 @deffn {Class} pyGHDL.dom.Literal.EnumerationLiteral (node, value)
16906 @subsubheading Inheritance
16908 @image{inheritance-ab459f7fccf760ea43f289cb4fa34acf424436de,,,[graphviz],png}
16910 @subsubheading Members
16913 @geindex parse() (pyGHDL.dom.Literal.EnumerationLiteral class method)
16914 @anchor{pyGHDL/pyGHDL dom Literal pyGHDL dom Literal EnumerationLiteral parse}@anchor{6bb}
16915 @deffn {Method} classmethod  parse (literalNode)
16917 @*Return type: 
16918 @ref{6ae,,EnumerationLiteral}
16920 @end deffn
16922 @geindex Parent (pyGHDL.dom.Literal.EnumerationLiteral property)
16923 @anchor{pyGHDL/pyGHDL dom Literal pyGHDL dom Literal EnumerationLiteral Parent}@anchor{6bc}
16924 @deffn {Property} Parent:  pyVHDLModel.ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
16926 Returns a reference to the parent entity.
16928 @*Return type: 
16929 ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
16931 @end deffn
16933 @geindex Position (pyGHDL.dom.Literal.EnumerationLiteral property)
16934 @anchor{pyGHDL/pyGHDL dom Literal pyGHDL dom Literal EnumerationLiteral Position}@anchor{6bd}
16935 @deffn {Property} Position:  @ref{200,,pyGHDL.dom.Position}
16937 @*Return type: 
16938 @ref{200,,Position}
16940 @end deffn
16942 @geindex Value (pyGHDL.dom.Literal.EnumerationLiteral property)
16943 @anchor{pyGHDL/pyGHDL dom Literal pyGHDL dom Literal EnumerationLiteral Value}@anchor{6be}
16944 @deffn {Property} Value:  str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
16946 @*Return type: 
16947 str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
16949 @end deffn
16951 @geindex _position (pyGHDL.dom.Literal.EnumerationLiteral attribute)
16952 @anchor{pyGHDL/pyGHDL dom Literal pyGHDL dom Literal EnumerationLiteral _position}@anchor{6bf}
16953 @deffn {Attribute} _position:  @ref{200,,Position}  =  None
16954 @end deffn
16956 @geindex _value (pyGHDL.dom.Literal.EnumerationLiteral attribute)
16957 @anchor{pyGHDL/pyGHDL dom Literal pyGHDL dom Literal EnumerationLiteral _value}@anchor{6c0}
16958 @deffn {Attribute} _value:  str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
16959 @end deffn
16961 @geindex _parent (pyGHDL.dom.Literal.EnumerationLiteral attribute)
16962 @anchor{pyGHDL/pyGHDL dom Literal pyGHDL dom Literal EnumerationLiteral _parent}@anchor{6c1}
16963 @deffn {Attribute} _parent:  ModelEntity
16965 Reference to a parent entity in the model.
16966 @end deffn
16968 @geindex _iirNode (pyGHDL.dom.Literal.EnumerationLiteral attribute)
16969 @anchor{pyGHDL/pyGHDL dom Literal pyGHDL dom Literal EnumerationLiteral _iirNode}@anchor{6c2}
16970 @deffn {Attribute} _iirNode:  Iir
16971 @end deffn
16972 @end deffn
16974 @geindex IntegerLiteral (class in pyGHDL.dom.Literal)
16975 @anchor{pyGHDL/pyGHDL dom Literal pyGHDL dom Literal IntegerLiteral}@anchor{6af}
16976 @deffn {Class} pyGHDL.dom.Literal.IntegerLiteral (node, value)
16978 @subsubheading Inheritance
16980 @image{inheritance-ed879665ec0ffa6b227fcb2fcf534ad8f90ab754,,,[graphviz],png}
16982 @subsubheading Members
16985 @geindex parse() (pyGHDL.dom.Literal.IntegerLiteral class method)
16986 @anchor{pyGHDL/pyGHDL dom Literal pyGHDL dom Literal IntegerLiteral parse}@anchor{6c3}
16987 @deffn {Method} classmethod  parse (literalNode)
16989 @*Return type: 
16990 @ref{6af,,IntegerLiteral}
16992 @end deffn
16994 @geindex Parent (pyGHDL.dom.Literal.IntegerLiteral property)
16995 @anchor{pyGHDL/pyGHDL dom Literal pyGHDL dom Literal IntegerLiteral Parent}@anchor{6c4}
16996 @deffn {Property} Parent:  pyVHDLModel.ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
16998 Returns a reference to the parent entity.
17000 @*Return type: 
17001 ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
17003 @end deffn
17005 @geindex Position (pyGHDL.dom.Literal.IntegerLiteral property)
17006 @anchor{pyGHDL/pyGHDL dom Literal pyGHDL dom Literal IntegerLiteral Position}@anchor{6c5}
17007 @deffn {Property} Position:  @ref{200,,pyGHDL.dom.Position}
17009 @*Return type: 
17010 @ref{200,,Position}
17012 @end deffn
17014 @geindex Value (pyGHDL.dom.Literal.IntegerLiteral property)
17015 @anchor{pyGHDL/pyGHDL dom Literal pyGHDL dom Literal IntegerLiteral Value}@anchor{6c6}
17016 @deffn {Property} Value:  int@footnote{https://docs.python.org/3.6/library/functions.html#int}
17018 @*Return type: 
17019 int@footnote{https://docs.python.org/3.6/library/functions.html#int}
17021 @end deffn
17023 @geindex _position (pyGHDL.dom.Literal.IntegerLiteral attribute)
17024 @anchor{pyGHDL/pyGHDL dom Literal pyGHDL dom Literal IntegerLiteral _position}@anchor{6c7}
17025 @deffn {Attribute} _position:  @ref{200,,Position}  =  None
17026 @end deffn
17028 @geindex _value (pyGHDL.dom.Literal.IntegerLiteral attribute)
17029 @anchor{pyGHDL/pyGHDL dom Literal pyGHDL dom Literal IntegerLiteral _value}@anchor{6c8}
17030 @deffn {Attribute} _value:  int@footnote{https://docs.python.org/3.6/library/functions.html#int}
17031 @end deffn
17033 @geindex _parent (pyGHDL.dom.Literal.IntegerLiteral attribute)
17034 @anchor{pyGHDL/pyGHDL dom Literal pyGHDL dom Literal IntegerLiteral _parent}@anchor{6c9}
17035 @deffn {Attribute} _parent:  ModelEntity
17037 Reference to a parent entity in the model.
17038 @end deffn
17040 @geindex _iirNode (pyGHDL.dom.Literal.IntegerLiteral attribute)
17041 @anchor{pyGHDL/pyGHDL dom Literal pyGHDL dom Literal IntegerLiteral _iirNode}@anchor{6ca}
17042 @deffn {Attribute} _iirNode:  Iir
17043 @end deffn
17044 @end deffn
17046 @geindex FloatingPointLiteral (class in pyGHDL.dom.Literal)
17047 @anchor{pyGHDL/pyGHDL dom Literal pyGHDL dom Literal FloatingPointLiteral}@anchor{6b0}
17048 @deffn {Class} pyGHDL.dom.Literal.FloatingPointLiteral (node, value)
17050 @subsubheading Inheritance
17052 @image{inheritance-12c12c89c45361cd2d7285819fb9414bc1d90af9,,,[graphviz],png}
17054 @subsubheading Members
17057 @geindex parse() (pyGHDL.dom.Literal.FloatingPointLiteral class method)
17058 @anchor{pyGHDL/pyGHDL dom Literal pyGHDL dom Literal FloatingPointLiteral parse}@anchor{6cb}
17059 @deffn {Method} classmethod  parse (literalNode)
17061 @*Return type: 
17062 @ref{6b0,,FloatingPointLiteral}
17064 @end deffn
17066 @geindex Parent (pyGHDL.dom.Literal.FloatingPointLiteral property)
17067 @anchor{pyGHDL/pyGHDL dom Literal pyGHDL dom Literal FloatingPointLiteral Parent}@anchor{6cc}
17068 @deffn {Property} Parent:  pyVHDLModel.ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
17070 Returns a reference to the parent entity.
17072 @*Return type: 
17073 ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
17075 @end deffn
17077 @geindex Position (pyGHDL.dom.Literal.FloatingPointLiteral property)
17078 @anchor{pyGHDL/pyGHDL dom Literal pyGHDL dom Literal FloatingPointLiteral Position}@anchor{6cd}
17079 @deffn {Property} Position:  @ref{200,,pyGHDL.dom.Position}
17081 @*Return type: 
17082 @ref{200,,Position}
17084 @end deffn
17086 @geindex Value (pyGHDL.dom.Literal.FloatingPointLiteral property)
17087 @anchor{pyGHDL/pyGHDL dom Literal pyGHDL dom Literal FloatingPointLiteral Value}@anchor{6ce}
17088 @deffn {Property} Value:  float@footnote{https://docs.python.org/3.6/library/functions.html#float}
17090 @*Return type: 
17091 float@footnote{https://docs.python.org/3.6/library/functions.html#float}
17093 @end deffn
17095 @geindex _position (pyGHDL.dom.Literal.FloatingPointLiteral attribute)
17096 @anchor{pyGHDL/pyGHDL dom Literal pyGHDL dom Literal FloatingPointLiteral _position}@anchor{6cf}
17097 @deffn {Attribute} _position:  @ref{200,,Position}  =  None
17098 @end deffn
17100 @geindex _value (pyGHDL.dom.Literal.FloatingPointLiteral attribute)
17101 @anchor{pyGHDL/pyGHDL dom Literal pyGHDL dom Literal FloatingPointLiteral _value}@anchor{6d0}
17102 @deffn {Attribute} _value:  float@footnote{https://docs.python.org/3.6/library/functions.html#float}
17103 @end deffn
17105 @geindex _parent (pyGHDL.dom.Literal.FloatingPointLiteral attribute)
17106 @anchor{pyGHDL/pyGHDL dom Literal pyGHDL dom Literal FloatingPointLiteral _parent}@anchor{6d1}
17107 @deffn {Attribute} _parent:  ModelEntity
17109 Reference to a parent entity in the model.
17110 @end deffn
17112 @geindex _iirNode (pyGHDL.dom.Literal.FloatingPointLiteral attribute)
17113 @anchor{pyGHDL/pyGHDL dom Literal pyGHDL dom Literal FloatingPointLiteral _iirNode}@anchor{6d2}
17114 @deffn {Attribute} _iirNode:  Iir
17115 @end deffn
17116 @end deffn
17118 @geindex PhysicalIntegerLiteral (class in pyGHDL.dom.Literal)
17119 @anchor{pyGHDL/pyGHDL dom Literal pyGHDL dom Literal PhysicalIntegerLiteral}@anchor{6b1}
17120 @deffn {Class} pyGHDL.dom.Literal.PhysicalIntegerLiteral (node, value, unitName)
17122 @subsubheading Inheritance
17124 @image{inheritance-dc56c8891aeb738d395f038c340a3a37ae2aa7e2,,,[graphviz],png}
17126 @subsubheading Members
17129 @geindex parse() (pyGHDL.dom.Literal.PhysicalIntegerLiteral class method)
17130 @anchor{pyGHDL/pyGHDL dom Literal pyGHDL dom Literal PhysicalIntegerLiteral parse}@anchor{6d3}
17131 @deffn {Method} classmethod  parse (literalNode)
17133 @*Return type: 
17134 @ref{6b1,,PhysicalIntegerLiteral}
17136 @end deffn
17138 @geindex Parent (pyGHDL.dom.Literal.PhysicalIntegerLiteral property)
17139 @anchor{pyGHDL/pyGHDL dom Literal pyGHDL dom Literal PhysicalIntegerLiteral Parent}@anchor{6d4}
17140 @deffn {Property} Parent:  pyVHDLModel.ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
17142 Returns a reference to the parent entity.
17144 @*Return type: 
17145 ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
17147 @end deffn
17149 @geindex Position (pyGHDL.dom.Literal.PhysicalIntegerLiteral property)
17150 @anchor{pyGHDL/pyGHDL dom Literal pyGHDL dom Literal PhysicalIntegerLiteral Position}@anchor{6d5}
17151 @deffn {Property} Position:  @ref{200,,pyGHDL.dom.Position}
17153 @*Return type: 
17154 @ref{200,,Position}
17156 @end deffn
17158 @geindex UnitName (pyGHDL.dom.Literal.PhysicalIntegerLiteral property)
17159 @anchor{pyGHDL/pyGHDL dom Literal pyGHDL dom Literal PhysicalIntegerLiteral UnitName}@anchor{6d6}
17160 @deffn {Property} UnitName:  str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
17162 @*Return type: 
17163 str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
17165 @end deffn
17167 @geindex Value (pyGHDL.dom.Literal.PhysicalIntegerLiteral property)
17168 @anchor{pyGHDL/pyGHDL dom Literal pyGHDL dom Literal PhysicalIntegerLiteral Value}@anchor{6d7}
17169 @deffn {Property} Value:  int@footnote{https://docs.python.org/3.6/library/functions.html#int}
17171 @*Return type: 
17172 int@footnote{https://docs.python.org/3.6/library/functions.html#int}
17174 @end deffn
17176 @geindex _position (pyGHDL.dom.Literal.PhysicalIntegerLiteral attribute)
17177 @anchor{pyGHDL/pyGHDL dom Literal pyGHDL dom Literal PhysicalIntegerLiteral _position}@anchor{6d8}
17178 @deffn {Attribute} _position:  @ref{200,,Position}  =  None
17179 @end deffn
17181 @geindex _value (pyGHDL.dom.Literal.PhysicalIntegerLiteral attribute)
17182 @anchor{pyGHDL/pyGHDL dom Literal pyGHDL dom Literal PhysicalIntegerLiteral _value}@anchor{6d9}
17183 @deffn {Attribute} _value:  int@footnote{https://docs.python.org/3.6/library/functions.html#int}
17184 @end deffn
17186 @geindex _unitName (pyGHDL.dom.Literal.PhysicalIntegerLiteral attribute)
17187 @anchor{pyGHDL/pyGHDL dom Literal pyGHDL dom Literal PhysicalIntegerLiteral _unitName}@anchor{6da}
17188 @deffn {Attribute} _unitName:  str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
17189 @end deffn
17191 @geindex _parent (pyGHDL.dom.Literal.PhysicalIntegerLiteral attribute)
17192 @anchor{pyGHDL/pyGHDL dom Literal pyGHDL dom Literal PhysicalIntegerLiteral _parent}@anchor{6db}
17193 @deffn {Attribute} _parent:  ModelEntity
17195 Reference to a parent entity in the model.
17196 @end deffn
17198 @geindex _iirNode (pyGHDL.dom.Literal.PhysicalIntegerLiteral attribute)
17199 @anchor{pyGHDL/pyGHDL dom Literal pyGHDL dom Literal PhysicalIntegerLiteral _iirNode}@anchor{6dc}
17200 @deffn {Attribute} _iirNode:  Iir
17201 @end deffn
17202 @end deffn
17204 @geindex PhysicalFloatingLiteral (class in pyGHDL.dom.Literal)
17205 @anchor{pyGHDL/pyGHDL dom Literal pyGHDL dom Literal PhysicalFloatingLiteral}@anchor{6b2}
17206 @deffn {Class} pyGHDL.dom.Literal.PhysicalFloatingLiteral (node, value, unitName)
17208 @subsubheading Inheritance
17210 @image{inheritance-420e600999a1358a8c69016acbeec929b664b277,,,[graphviz],png}
17212 @subsubheading Members
17215 @geindex parse() (pyGHDL.dom.Literal.PhysicalFloatingLiteral class method)
17216 @anchor{pyGHDL/pyGHDL dom Literal pyGHDL dom Literal PhysicalFloatingLiteral parse}@anchor{6dd}
17217 @deffn {Method} classmethod  parse (literalNode)
17219 @*Return type: 
17220 @ref{6b2,,PhysicalFloatingLiteral}
17222 @end deffn
17224 @geindex Parent (pyGHDL.dom.Literal.PhysicalFloatingLiteral property)
17225 @anchor{pyGHDL/pyGHDL dom Literal pyGHDL dom Literal PhysicalFloatingLiteral Parent}@anchor{6de}
17226 @deffn {Property} Parent:  pyVHDLModel.ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
17228 Returns a reference to the parent entity.
17230 @*Return type: 
17231 ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
17233 @end deffn
17235 @geindex Position (pyGHDL.dom.Literal.PhysicalFloatingLiteral property)
17236 @anchor{pyGHDL/pyGHDL dom Literal pyGHDL dom Literal PhysicalFloatingLiteral Position}@anchor{6df}
17237 @deffn {Property} Position:  @ref{200,,pyGHDL.dom.Position}
17239 @*Return type: 
17240 @ref{200,,Position}
17242 @end deffn
17244 @geindex UnitName (pyGHDL.dom.Literal.PhysicalFloatingLiteral property)
17245 @anchor{pyGHDL/pyGHDL dom Literal pyGHDL dom Literal PhysicalFloatingLiteral UnitName}@anchor{6e0}
17246 @deffn {Property} UnitName:  str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
17248 @*Return type: 
17249 str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
17251 @end deffn
17253 @geindex Value (pyGHDL.dom.Literal.PhysicalFloatingLiteral property)
17254 @anchor{pyGHDL/pyGHDL dom Literal pyGHDL dom Literal PhysicalFloatingLiteral Value}@anchor{6e1}
17255 @deffn {Property} Value:  float@footnote{https://docs.python.org/3.6/library/functions.html#float}
17257 @*Return type: 
17258 float@footnote{https://docs.python.org/3.6/library/functions.html#float}
17260 @end deffn
17262 @geindex _position (pyGHDL.dom.Literal.PhysicalFloatingLiteral attribute)
17263 @anchor{pyGHDL/pyGHDL dom Literal pyGHDL dom Literal PhysicalFloatingLiteral _position}@anchor{6e2}
17264 @deffn {Attribute} _position:  @ref{200,,Position}  =  None
17265 @end deffn
17267 @geindex _value (pyGHDL.dom.Literal.PhysicalFloatingLiteral attribute)
17268 @anchor{pyGHDL/pyGHDL dom Literal pyGHDL dom Literal PhysicalFloatingLiteral _value}@anchor{6e3}
17269 @deffn {Attribute} _value:  float@footnote{https://docs.python.org/3.6/library/functions.html#float}
17270 @end deffn
17272 @geindex _unitName (pyGHDL.dom.Literal.PhysicalFloatingLiteral attribute)
17273 @anchor{pyGHDL/pyGHDL dom Literal pyGHDL dom Literal PhysicalFloatingLiteral _unitName}@anchor{6e4}
17274 @deffn {Attribute} _unitName:  str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
17275 @end deffn
17277 @geindex _parent (pyGHDL.dom.Literal.PhysicalFloatingLiteral attribute)
17278 @anchor{pyGHDL/pyGHDL dom Literal pyGHDL dom Literal PhysicalFloatingLiteral _parent}@anchor{6e5}
17279 @deffn {Attribute} _parent:  ModelEntity
17281 Reference to a parent entity in the model.
17282 @end deffn
17284 @geindex _iirNode (pyGHDL.dom.Literal.PhysicalFloatingLiteral attribute)
17285 @anchor{pyGHDL/pyGHDL dom Literal pyGHDL dom Literal PhysicalFloatingLiteral _iirNode}@anchor{6e6}
17286 @deffn {Attribute} _iirNode:  Iir
17287 @end deffn
17288 @end deffn
17290 @geindex CharacterLiteral (class in pyGHDL.dom.Literal)
17291 @anchor{pyGHDL/pyGHDL dom Literal pyGHDL dom Literal CharacterLiteral}@anchor{6b3}
17292 @deffn {Class} pyGHDL.dom.Literal.CharacterLiteral (node, value)
17294 @subsubheading Inheritance
17296 @image{inheritance-a926f295ee205e773b684240816df526e7b1ddbe,,,[graphviz],png}
17298 @subsubheading Members
17301 @geindex parse() (pyGHDL.dom.Literal.CharacterLiteral class method)
17302 @anchor{pyGHDL/pyGHDL dom Literal pyGHDL dom Literal CharacterLiteral parse}@anchor{6e7}
17303 @deffn {Method} classmethod  parse (literalNode)
17305 @*Return type: 
17306 @ref{6b3,,CharacterLiteral}
17308 @end deffn
17310 @geindex Parent (pyGHDL.dom.Literal.CharacterLiteral property)
17311 @anchor{pyGHDL/pyGHDL dom Literal pyGHDL dom Literal CharacterLiteral Parent}@anchor{6e8}
17312 @deffn {Property} Parent:  pyVHDLModel.ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
17314 Returns a reference to the parent entity.
17316 @*Return type: 
17317 ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
17319 @end deffn
17321 @geindex Position (pyGHDL.dom.Literal.CharacterLiteral property)
17322 @anchor{pyGHDL/pyGHDL dom Literal pyGHDL dom Literal CharacterLiteral Position}@anchor{6e9}
17323 @deffn {Property} Position:  @ref{200,,pyGHDL.dom.Position}
17325 @*Return type: 
17326 @ref{200,,Position}
17328 @end deffn
17330 @geindex Value (pyGHDL.dom.Literal.CharacterLiteral property)
17331 @anchor{pyGHDL/pyGHDL dom Literal pyGHDL dom Literal CharacterLiteral Value}@anchor{6ea}
17332 @deffn {Property} Value:  str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
17334 @*Return type: 
17335 str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
17337 @end deffn
17339 @geindex _position (pyGHDL.dom.Literal.CharacterLiteral attribute)
17340 @anchor{pyGHDL/pyGHDL dom Literal pyGHDL dom Literal CharacterLiteral _position}@anchor{6eb}
17341 @deffn {Attribute} _position:  @ref{200,,Position}  =  None
17342 @end deffn
17344 @geindex _value (pyGHDL.dom.Literal.CharacterLiteral attribute)
17345 @anchor{pyGHDL/pyGHDL dom Literal pyGHDL dom Literal CharacterLiteral _value}@anchor{6ec}
17346 @deffn {Attribute} _value:  str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
17347 @end deffn
17349 @geindex _parent (pyGHDL.dom.Literal.CharacterLiteral attribute)
17350 @anchor{pyGHDL/pyGHDL dom Literal pyGHDL dom Literal CharacterLiteral _parent}@anchor{6ed}
17351 @deffn {Attribute} _parent:  ModelEntity
17353 Reference to a parent entity in the model.
17354 @end deffn
17356 @geindex _iirNode (pyGHDL.dom.Literal.CharacterLiteral attribute)
17357 @anchor{pyGHDL/pyGHDL dom Literal pyGHDL dom Literal CharacterLiteral _iirNode}@anchor{6ee}
17358 @deffn {Attribute} _iirNode:  Iir
17359 @end deffn
17360 @end deffn
17362 @geindex StringLiteral (class in pyGHDL.dom.Literal)
17363 @anchor{pyGHDL/pyGHDL dom Literal pyGHDL dom Literal StringLiteral}@anchor{6b4}
17364 @deffn {Class} pyGHDL.dom.Literal.StringLiteral (node, value)
17366 @subsubheading Inheritance
17368 @image{inheritance-fa4181014785a4dff6de518bc9e7b80a76ad21db,,,[graphviz],png}
17370 @subsubheading Members
17373 @geindex parse() (pyGHDL.dom.Literal.StringLiteral class method)
17374 @anchor{pyGHDL/pyGHDL dom Literal pyGHDL dom Literal StringLiteral parse}@anchor{6ef}
17375 @deffn {Method} classmethod  parse (literalNode)
17377 @*Return type: 
17378 @ref{6b4,,StringLiteral}
17380 @end deffn
17382 @geindex Parent (pyGHDL.dom.Literal.StringLiteral property)
17383 @anchor{pyGHDL/pyGHDL dom Literal pyGHDL dom Literal StringLiteral Parent}@anchor{6f0}
17384 @deffn {Property} Parent:  pyVHDLModel.ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
17386 Returns a reference to the parent entity.
17388 @*Return type: 
17389 ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
17391 @end deffn
17393 @geindex Position (pyGHDL.dom.Literal.StringLiteral property)
17394 @anchor{pyGHDL/pyGHDL dom Literal pyGHDL dom Literal StringLiteral Position}@anchor{6f1}
17395 @deffn {Property} Position:  @ref{200,,pyGHDL.dom.Position}
17397 @*Return type: 
17398 @ref{200,,Position}
17400 @end deffn
17402 @geindex Value (pyGHDL.dom.Literal.StringLiteral property)
17403 @anchor{pyGHDL/pyGHDL dom Literal pyGHDL dom Literal StringLiteral Value}@anchor{6f2}
17404 @deffn {Property} Value:  str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
17406 @*Return type: 
17407 str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
17409 @end deffn
17411 @geindex _position (pyGHDL.dom.Literal.StringLiteral attribute)
17412 @anchor{pyGHDL/pyGHDL dom Literal pyGHDL dom Literal StringLiteral _position}@anchor{6f3}
17413 @deffn {Attribute} _position:  @ref{200,,Position}  =  None
17414 @end deffn
17416 @geindex _value (pyGHDL.dom.Literal.StringLiteral attribute)
17417 @anchor{pyGHDL/pyGHDL dom Literal pyGHDL dom Literal StringLiteral _value}@anchor{6f4}
17418 @deffn {Attribute} _value:  str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
17419 @end deffn
17421 @geindex _parent (pyGHDL.dom.Literal.StringLiteral attribute)
17422 @anchor{pyGHDL/pyGHDL dom Literal pyGHDL dom Literal StringLiteral _parent}@anchor{6f5}
17423 @deffn {Attribute} _parent:  ModelEntity
17425 Reference to a parent entity in the model.
17426 @end deffn
17428 @geindex _iirNode (pyGHDL.dom.Literal.StringLiteral attribute)
17429 @anchor{pyGHDL/pyGHDL dom Literal pyGHDL dom Literal StringLiteral _iirNode}@anchor{6f6}
17430 @deffn {Attribute} _iirNode:  Iir
17431 @end deffn
17432 @end deffn
17434 @c # Load pre-defined aliases and graphical characters like © from docutils
17435 @c # <file> is used to denote the special path
17436 @c # <Python>\Lib\site-packages\docutils\parsers\rst\include
17438 @c This data file has been placed in the public domain.
17440 @c Derived from the Unicode character mappings available from
17441 @c <http://www.w3.org/2003/entities/xml/>.
17442 @c Processed by unicode2rstsubs.py, part of Docutils:
17443 @c <http://docutils.sourceforge.net>.
17445 @c This data file has been placed in the public domain.
17447 @c Derived from the Unicode character mappings available from
17448 @c <http://www.w3.org/2003/entities/xml/>.
17449 @c Processed by unicode2rstsubs.py, part of Docutils:
17450 @c <http://docutils.sourceforge.net>.
17452 @c # define a hard line break for HTML
17454 @node pyGHDL dom Misc,pyGHDL dom Names,pyGHDL dom Literal,pyGHDL dom
17455 @anchor{pyGHDL/pyGHDL dom Misc doc}@anchor{6f7}@anchor{pyGHDL/pyGHDL dom Misc module-pyGHDL dom Misc}@anchor{10}@anchor{pyGHDL/pyGHDL dom Misc pyghdl-dom-misc}@anchor{6f8}
17456 @subsection pyGHDL.dom.Misc
17459 @geindex module; pyGHDL.dom.Misc
17461 @cartouche
17462 @quotation Todo 
17463 Add a module documentation.
17464 @end quotation
17465 @end cartouche
17467 @c #-----------------------------------
17469 @strong{Classes}
17472 @itemize -
17474 @item 
17475 @ref{6f9,,Alias}:
17476 @code{ModelEntity} is the base class for all classes in the VHDL language model,
17477 @end itemize
17479 @c #-----------------------------------
17481 @geindex Alias (class in pyGHDL.dom.Misc)
17482 @anchor{pyGHDL/pyGHDL dom Misc pyGHDL dom Misc Alias}@anchor{6f9}
17483 @deffn {Class} pyGHDL.dom.Misc.Alias (node, aliasName)
17485 @subsubheading Inheritance
17487 @image{inheritance-9ab260821b2de3ddfc57d303e43efd20451c31c7,,,[graphviz],png}
17489 @subsubheading Members
17492 @geindex parse() (pyGHDL.dom.Misc.Alias class method)
17493 @anchor{pyGHDL/pyGHDL dom Misc pyGHDL dom Misc Alias parse}@anchor{6fa}
17494 @deffn {Method} classmethod  parse (node)
17495 @end deffn
17497 @geindex Identifier (pyGHDL.dom.Misc.Alias property)
17498 @anchor{pyGHDL/pyGHDL dom Misc pyGHDL dom Misc Alias Identifier}@anchor{6fb}
17499 @deffn {Property} Identifier:  str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
17501 Returns a model entity’s identifier (name).
17503 @*Return type: 
17504 str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
17506 @end deffn
17508 @geindex Parent (pyGHDL.dom.Misc.Alias property)
17509 @anchor{pyGHDL/pyGHDL dom Misc pyGHDL dom Misc Alias Parent}@anchor{6fc}
17510 @deffn {Property} Parent:  pyVHDLModel.ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
17512 Returns a reference to the parent entity.
17514 @*Return type: 
17515 ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
17517 @end deffn
17519 @geindex Position (pyGHDL.dom.Misc.Alias property)
17520 @anchor{pyGHDL/pyGHDL dom Misc pyGHDL dom Misc Alias Position}@anchor{6fd}
17521 @deffn {Property} Position:  @ref{200,,pyGHDL.dom.Position}
17523 @*Return type: 
17524 @ref{200,,Position}
17526 @end deffn
17528 @geindex _position (pyGHDL.dom.Misc.Alias attribute)
17529 @anchor{pyGHDL/pyGHDL dom Misc pyGHDL dom Misc Alias _position}@anchor{6fe}
17530 @deffn {Attribute} _position:  @ref{200,,Position}  =  None
17531 @end deffn
17533 @geindex _parent (pyGHDL.dom.Misc.Alias attribute)
17534 @anchor{pyGHDL/pyGHDL dom Misc pyGHDL dom Misc Alias _parent}@anchor{6ff}
17535 @deffn {Attribute} _parent:  ModelEntity
17537 Reference to a parent entity in the model.
17538 @end deffn
17540 @geindex _identifier (pyGHDL.dom.Misc.Alias attribute)
17541 @anchor{pyGHDL/pyGHDL dom Misc pyGHDL dom Misc Alias _identifier}@anchor{700}
17542 @deffn {Attribute} _identifier:  str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
17544 The identifier of a model entity.
17545 @end deffn
17547 @geindex _iirNode (pyGHDL.dom.Misc.Alias attribute)
17548 @anchor{pyGHDL/pyGHDL dom Misc pyGHDL dom Misc Alias _iirNode}@anchor{701}
17549 @deffn {Attribute} _iirNode:  Iir
17550 @end deffn
17551 @end deffn
17553 @c # Load pre-defined aliases and graphical characters like © from docutils
17554 @c # <file> is used to denote the special path
17555 @c # <Python>\Lib\site-packages\docutils\parsers\rst\include
17557 @c This data file has been placed in the public domain.
17559 @c Derived from the Unicode character mappings available from
17560 @c <http://www.w3.org/2003/entities/xml/>.
17561 @c Processed by unicode2rstsubs.py, part of Docutils:
17562 @c <http://docutils.sourceforge.net>.
17564 @c This data file has been placed in the public domain.
17566 @c Derived from the Unicode character mappings available from
17567 @c <http://www.w3.org/2003/entities/xml/>.
17568 @c Processed by unicode2rstsubs.py, part of Docutils:
17569 @c <http://docutils.sourceforge.net>.
17571 @c # define a hard line break for HTML
17573 @node pyGHDL dom Names,pyGHDL dom NonStandard,pyGHDL dom Misc,pyGHDL dom
17574 @anchor{pyGHDL/pyGHDL dom Names doc}@anchor{702}@anchor{pyGHDL/pyGHDL dom Names module-pyGHDL dom Names}@anchor{11}@anchor{pyGHDL/pyGHDL dom Names pyghdl-dom-names}@anchor{703}
17575 @subsection pyGHDL.dom.Names
17578 @geindex module; pyGHDL.dom.Names
17580 @c #-----------------------------------
17582 @strong{Classes}
17585 @itemize -
17587 @item 
17588 @ref{704,,SimpleName}:
17589 @code{Name} is the base class for all @emph{names} in the VHDL language model.
17591 @item 
17592 @ref{705,,ParenthesisName}:
17593 @code{Name} is the base class for all @emph{names} in the VHDL language model.
17595 @item 
17596 @ref{706,,IndexedName}:
17597 @code{Name} is the base class for all @emph{names} in the VHDL language model.
17599 @item 
17600 @ref{707,,SlicedName}:
17601 @code{Name} is the base class for all @emph{names} in the VHDL language model.
17603 @item 
17604 @ref{708,,SelectedName}:
17605 @code{Name} is the base class for all @emph{names} in the VHDL language model.
17607 @item 
17608 @ref{709,,AttributeName}:
17609 @code{Name} is the base class for all @emph{names} in the VHDL language model.
17611 @item 
17612 @ref{70a,,AllName}:
17613 @code{Name} is the base class for all @emph{names} in the VHDL language model.
17615 @item 
17616 @ref{70b,,OpenName}:
17617 @code{Name} is the base class for all @emph{names} in the VHDL language model.
17618 @end itemize
17620 @c #-----------------------------------
17622 @geindex SimpleName (class in pyGHDL.dom.Names)
17623 @anchor{pyGHDL/pyGHDL dom Names pyGHDL dom Names SimpleName}@anchor{704}
17624 @deffn {Class} pyGHDL.dom.Names.SimpleName (node, identifier)
17626 @subsubheading Inheritance
17628 @image{inheritance-e9350059b242d6f8bc030b54deb2e60adecfee02,,,[graphviz],png}
17630 @subsubheading Members
17633 @geindex Has_Prefix (pyGHDL.dom.Names.SimpleName property)
17634 @anchor{pyGHDL/pyGHDL dom Names pyGHDL dom Names SimpleName Has_Prefix}@anchor{70c}
17635 @deffn {Property} Has_Prefix:  bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
17637 @*Return type: 
17638 bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
17640 @end deffn
17642 @geindex Identifier (pyGHDL.dom.Names.SimpleName property)
17643 @anchor{pyGHDL/pyGHDL dom Names pyGHDL dom Names SimpleName Identifier}@anchor{70d}
17644 @deffn {Property} Identifier:  str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
17646 @*Return type: 
17647 str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
17649 @end deffn
17651 @geindex Position (pyGHDL.dom.Names.SimpleName property)
17652 @anchor{pyGHDL/pyGHDL dom Names pyGHDL dom Names SimpleName Position}@anchor{70e}
17653 @deffn {Property} Position:  @ref{200,,pyGHDL.dom.Position}
17655 @*Return type: 
17656 @ref{200,,Position}
17658 @end deffn
17660 @geindex Prefix (pyGHDL.dom.Names.SimpleName property)
17661 @anchor{pyGHDL/pyGHDL dom Names pyGHDL dom Names SimpleName Prefix}@anchor{70f}
17662 @deffn {Property} Prefix:  Optional[pyVHDLModel.SyntaxModel.Name@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Name}]
17664 @*Return type: 
17665 Optional@footnote{https://docs.python.org/3.6/library/typing.html#typing.Optional}[Name@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Name}]
17667 @end deffn
17669 @geindex Root (pyGHDL.dom.Names.SimpleName property)
17670 @anchor{pyGHDL/pyGHDL dom Names pyGHDL dom Names SimpleName Root}@anchor{710}
17671 @deffn {Property} Root:  pyVHDLModel.SyntaxModel.Name@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Name}
17673 @*Return type: 
17674 Name@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Name}
17676 @end deffn
17678 @geindex _position (pyGHDL.dom.Names.SimpleName attribute)
17679 @anchor{pyGHDL/pyGHDL dom Names pyGHDL dom Names SimpleName _position}@anchor{711}
17680 @deffn {Attribute} _position:  @ref{200,,Position}  =  None
17681 @end deffn
17683 @geindex _identifier (pyGHDL.dom.Names.SimpleName attribute)
17684 @anchor{pyGHDL/pyGHDL dom Names pyGHDL dom Names SimpleName _identifier}@anchor{712}
17685 @deffn {Attribute} _identifier:  str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
17686 @end deffn
17688 @geindex _root (pyGHDL.dom.Names.SimpleName attribute)
17689 @anchor{pyGHDL/pyGHDL dom Names pyGHDL dom Names SimpleName _root}@anchor{713}
17690 @deffn {Attribute} _root:  Optional[@ref{354,,Name}]
17691 @end deffn
17693 @geindex _prefix (pyGHDL.dom.Names.SimpleName attribute)
17694 @anchor{pyGHDL/pyGHDL dom Names pyGHDL dom Names SimpleName _prefix}@anchor{714}
17695 @deffn {Attribute} _prefix:  Optional[@ref{354,,Name}]
17696 @end deffn
17698 @geindex _iirNode (pyGHDL.dom.Names.SimpleName attribute)
17699 @anchor{pyGHDL/pyGHDL dom Names pyGHDL dom Names SimpleName _iirNode}@anchor{715}
17700 @deffn {Attribute} _iirNode:  Iir
17701 @end deffn
17702 @end deffn
17704 @geindex ParenthesisName (class in pyGHDL.dom.Names)
17705 @anchor{pyGHDL/pyGHDL dom Names pyGHDL dom Names ParenthesisName}@anchor{705}
17706 @deffn {Class} pyGHDL.dom.Names.ParenthesisName (node, prefix, associations)
17708 @subsubheading Inheritance
17710 @image{inheritance-ac178bf9a0a824b0eca8b88e0fe71d78174c137e,,,[graphviz],png}
17712 @subsubheading Members
17715 @geindex Associations (pyGHDL.dom.Names.ParenthesisName property)
17716 @anchor{pyGHDL/pyGHDL dom Names pyGHDL dom Names ParenthesisName Associations}@anchor{716}
17717 @deffn {Property} Associations:  List
17719 @*Return type: 
17720 List@footnote{https://docs.python.org/3.6/library/typing.html#typing.List}
17722 @end deffn
17724 @geindex Has_Prefix (pyGHDL.dom.Names.ParenthesisName property)
17725 @anchor{pyGHDL/pyGHDL dom Names pyGHDL dom Names ParenthesisName Has_Prefix}@anchor{717}
17726 @deffn {Property} Has_Prefix:  bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
17728 @*Return type: 
17729 bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
17731 @end deffn
17733 @geindex Identifier (pyGHDL.dom.Names.ParenthesisName property)
17734 @anchor{pyGHDL/pyGHDL dom Names pyGHDL dom Names ParenthesisName Identifier}@anchor{718}
17735 @deffn {Property} Identifier:  str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
17737 @*Return type: 
17738 str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
17740 @end deffn
17742 @geindex Position (pyGHDL.dom.Names.ParenthesisName property)
17743 @anchor{pyGHDL/pyGHDL dom Names pyGHDL dom Names ParenthesisName Position}@anchor{719}
17744 @deffn {Property} Position:  @ref{200,,pyGHDL.dom.Position}
17746 @*Return type: 
17747 @ref{200,,Position}
17749 @end deffn
17751 @geindex Prefix (pyGHDL.dom.Names.ParenthesisName property)
17752 @anchor{pyGHDL/pyGHDL dom Names pyGHDL dom Names ParenthesisName Prefix}@anchor{71a}
17753 @deffn {Property} Prefix:  Optional[pyVHDLModel.SyntaxModel.Name@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Name}]
17755 @*Return type: 
17756 Optional@footnote{https://docs.python.org/3.6/library/typing.html#typing.Optional}[Name@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Name}]
17758 @end deffn
17760 @geindex Root (pyGHDL.dom.Names.ParenthesisName property)
17761 @anchor{pyGHDL/pyGHDL dom Names pyGHDL dom Names ParenthesisName Root}@anchor{71b}
17762 @deffn {Property} Root:  pyVHDLModel.SyntaxModel.Name@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Name}
17764 @*Return type: 
17765 Name@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Name}
17767 @end deffn
17769 @geindex _position (pyGHDL.dom.Names.ParenthesisName attribute)
17770 @anchor{pyGHDL/pyGHDL dom Names pyGHDL dom Names ParenthesisName _position}@anchor{71c}
17771 @deffn {Attribute} _position:  @ref{200,,Position}  =  None
17772 @end deffn
17774 @geindex _associations (pyGHDL.dom.Names.ParenthesisName attribute)
17775 @anchor{pyGHDL/pyGHDL dom Names pyGHDL dom Names ParenthesisName _associations}@anchor{71d}
17776 @deffn {Attribute} _associations:  List
17777 @end deffn
17779 @geindex _identifier (pyGHDL.dom.Names.ParenthesisName attribute)
17780 @anchor{pyGHDL/pyGHDL dom Names pyGHDL dom Names ParenthesisName _identifier}@anchor{71e}
17781 @deffn {Attribute} _identifier:  str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
17782 @end deffn
17784 @geindex _root (pyGHDL.dom.Names.ParenthesisName attribute)
17785 @anchor{pyGHDL/pyGHDL dom Names pyGHDL dom Names ParenthesisName _root}@anchor{71f}
17786 @deffn {Attribute} _root:  Nullable['Name']
17787 @end deffn
17789 @geindex _prefix (pyGHDL.dom.Names.ParenthesisName attribute)
17790 @anchor{pyGHDL/pyGHDL dom Names pyGHDL dom Names ParenthesisName _prefix}@anchor{720}
17791 @deffn {Attribute} _prefix:  Nullable['Name']
17792 @end deffn
17794 @geindex _iirNode (pyGHDL.dom.Names.ParenthesisName attribute)
17795 @anchor{pyGHDL/pyGHDL dom Names pyGHDL dom Names ParenthesisName _iirNode}@anchor{721}
17796 @deffn {Attribute} _iirNode:  Iir
17797 @end deffn
17798 @end deffn
17800 @geindex IndexedName (class in pyGHDL.dom.Names)
17801 @anchor{pyGHDL/pyGHDL dom Names pyGHDL dom Names IndexedName}@anchor{706}
17802 @deffn {Class} pyGHDL.dom.Names.IndexedName (node, identifier)
17804 @subsubheading Inheritance
17806 @image{inheritance-53799f61c091012b34176698853d72d44de146f6,,,[graphviz],png}
17808 @subsubheading Members
17811 @geindex Has_Prefix (pyGHDL.dom.Names.IndexedName property)
17812 @anchor{pyGHDL/pyGHDL dom Names pyGHDL dom Names IndexedName Has_Prefix}@anchor{722}
17813 @deffn {Property} Has_Prefix:  bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
17815 @*Return type: 
17816 bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
17818 @end deffn
17820 @geindex Identifier (pyGHDL.dom.Names.IndexedName property)
17821 @anchor{pyGHDL/pyGHDL dom Names pyGHDL dom Names IndexedName Identifier}@anchor{723}
17822 @deffn {Property} Identifier:  str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
17824 @*Return type: 
17825 str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
17827 @end deffn
17829 @geindex Indices (pyGHDL.dom.Names.IndexedName property)
17830 @anchor{pyGHDL/pyGHDL dom Names pyGHDL dom Names IndexedName Indices}@anchor{724}
17831 @deffn {Property} Indices:  List[Union[BaseExpression,  @ref{203,,QualifiedExpression},  @ref{204,,FunctionCall},  @ref{205,,TypeConversion},  @ref{206,,Constant},  ConstantSymbol,  @ref{207,,Variable},  VariableSymbol,  @ref{208,,Signal},  SignalSymbol,  Literal]]
17833 @*Return type: 
17834 List@footnote{https://docs.python.org/3.6/library/typing.html#typing.List}[Union@footnote{https://docs.python.org/3.6/library/typing.html#typing.Union}[BaseExpression@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.BaseExpression}, QualifiedExpression@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.QualifiedExpression}, FunctionCall@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.FunctionCall}, TypeConversion@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.TypeConversion}, Constant@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Constant}, ConstantSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.ConstantSymbol}, Variable@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Variable}, VariableSymbol, Signal@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Signal}, SignalSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.SignalSymbol}, @code{Literal}]]
17836 @end deffn
17838 @geindex Position (pyGHDL.dom.Names.IndexedName property)
17839 @anchor{pyGHDL/pyGHDL dom Names pyGHDL dom Names IndexedName Position}@anchor{725}
17840 @deffn {Property} Position:  @ref{200,,pyGHDL.dom.Position}
17842 @*Return type: 
17843 @ref{200,,Position}
17845 @end deffn
17847 @geindex Prefix (pyGHDL.dom.Names.IndexedName property)
17848 @anchor{pyGHDL/pyGHDL dom Names pyGHDL dom Names IndexedName Prefix}@anchor{726}
17849 @deffn {Property} Prefix:  Optional[pyVHDLModel.SyntaxModel.Name@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Name}]
17851 @*Return type: 
17852 Optional@footnote{https://docs.python.org/3.6/library/typing.html#typing.Optional}[Name@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Name}]
17854 @end deffn
17856 @geindex Root (pyGHDL.dom.Names.IndexedName property)
17857 @anchor{pyGHDL/pyGHDL dom Names pyGHDL dom Names IndexedName Root}@anchor{727}
17858 @deffn {Property} Root:  pyVHDLModel.SyntaxModel.Name@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Name}
17860 @*Return type: 
17861 Name@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Name}
17863 @end deffn
17865 @geindex _position (pyGHDL.dom.Names.IndexedName attribute)
17866 @anchor{pyGHDL/pyGHDL dom Names pyGHDL dom Names IndexedName _position}@anchor{728}
17867 @deffn {Attribute} _position:  @ref{200,,Position}  =  None
17868 @end deffn
17870 @geindex _indices (pyGHDL.dom.Names.IndexedName attribute)
17871 @anchor{pyGHDL/pyGHDL dom Names pyGHDL dom Names IndexedName _indices}@anchor{729}
17872 @deffn {Attribute} _indices:  List[Union[BaseExpression,  @ref{203,,QualifiedExpression},  @ref{204,,FunctionCall},  @ref{205,,TypeConversion},  @ref{206,,Constant},  ConstantSymbol,  @ref{207,,Variable},  VariableSymbol,  @ref{208,,Signal},  SignalSymbol,  Literal]]
17873 @end deffn
17875 @geindex _identifier (pyGHDL.dom.Names.IndexedName attribute)
17876 @anchor{pyGHDL/pyGHDL dom Names pyGHDL dom Names IndexedName _identifier}@anchor{72a}
17877 @deffn {Attribute} _identifier:  str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
17878 @end deffn
17880 @geindex _root (pyGHDL.dom.Names.IndexedName attribute)
17881 @anchor{pyGHDL/pyGHDL dom Names pyGHDL dom Names IndexedName _root}@anchor{72b}
17882 @deffn {Attribute} _root:  Nullable['Name']
17883 @end deffn
17885 @geindex _prefix (pyGHDL.dom.Names.IndexedName attribute)
17886 @anchor{pyGHDL/pyGHDL dom Names pyGHDL dom Names IndexedName _prefix}@anchor{72c}
17887 @deffn {Attribute} _prefix:  Nullable['Name']
17888 @end deffn
17890 @geindex _iirNode (pyGHDL.dom.Names.IndexedName attribute)
17891 @anchor{pyGHDL/pyGHDL dom Names pyGHDL dom Names IndexedName _iirNode}@anchor{72d}
17892 @deffn {Attribute} _iirNode:  Iir
17893 @end deffn
17894 @end deffn
17896 @geindex SlicedName (class in pyGHDL.dom.Names)
17897 @anchor{pyGHDL/pyGHDL dom Names pyGHDL dom Names SlicedName}@anchor{707}
17898 @deffn {Class} pyGHDL.dom.Names.SlicedName (node, identifier)
17900 @subsubheading Inheritance
17902 @image{inheritance-a60914fd956195fc8268275f77c975e3f875a1e6,,,[graphviz],png}
17904 @subsubheading Members
17907 @geindex Has_Prefix (pyGHDL.dom.Names.SlicedName property)
17908 @anchor{pyGHDL/pyGHDL dom Names pyGHDL dom Names SlicedName Has_Prefix}@anchor{72e}
17909 @deffn {Property} Has_Prefix:  bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
17911 @*Return type: 
17912 bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
17914 @end deffn
17916 @geindex Identifier (pyGHDL.dom.Names.SlicedName property)
17917 @anchor{pyGHDL/pyGHDL dom Names pyGHDL dom Names SlicedName Identifier}@anchor{72f}
17918 @deffn {Property} Identifier:  str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
17920 @*Return type: 
17921 str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
17923 @end deffn
17925 @geindex Position (pyGHDL.dom.Names.SlicedName property)
17926 @anchor{pyGHDL/pyGHDL dom Names pyGHDL dom Names SlicedName Position}@anchor{730}
17927 @deffn {Property} Position:  @ref{200,,pyGHDL.dom.Position}
17929 @*Return type: 
17930 @ref{200,,Position}
17932 @end deffn
17934 @geindex Prefix (pyGHDL.dom.Names.SlicedName property)
17935 @anchor{pyGHDL/pyGHDL dom Names pyGHDL dom Names SlicedName Prefix}@anchor{731}
17936 @deffn {Property} Prefix:  Optional[pyVHDLModel.SyntaxModel.Name@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Name}]
17938 @*Return type: 
17939 Optional@footnote{https://docs.python.org/3.6/library/typing.html#typing.Optional}[Name@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Name}]
17941 @end deffn
17943 @geindex Root (pyGHDL.dom.Names.SlicedName property)
17944 @anchor{pyGHDL/pyGHDL dom Names pyGHDL dom Names SlicedName Root}@anchor{732}
17945 @deffn {Property} Root:  pyVHDLModel.SyntaxModel.Name@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Name}
17947 @*Return type: 
17948 Name@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Name}
17950 @end deffn
17952 @geindex _position (pyGHDL.dom.Names.SlicedName attribute)
17953 @anchor{pyGHDL/pyGHDL dom Names pyGHDL dom Names SlicedName _position}@anchor{733}
17954 @deffn {Attribute} _position:  @ref{200,,Position}  =  None
17955 @end deffn
17957 @geindex _identifier (pyGHDL.dom.Names.SlicedName attribute)
17958 @anchor{pyGHDL/pyGHDL dom Names pyGHDL dom Names SlicedName _identifier}@anchor{734}
17959 @deffn {Attribute} _identifier:  str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
17960 @end deffn
17962 @geindex _root (pyGHDL.dom.Names.SlicedName attribute)
17963 @anchor{pyGHDL/pyGHDL dom Names pyGHDL dom Names SlicedName _root}@anchor{735}
17964 @deffn {Attribute} _root:  Optional[@ref{354,,Name}]
17965 @end deffn
17967 @geindex _prefix (pyGHDL.dom.Names.SlicedName attribute)
17968 @anchor{pyGHDL/pyGHDL dom Names pyGHDL dom Names SlicedName _prefix}@anchor{736}
17969 @deffn {Attribute} _prefix:  Optional[@ref{354,,Name}]
17970 @end deffn
17972 @geindex _iirNode (pyGHDL.dom.Names.SlicedName attribute)
17973 @anchor{pyGHDL/pyGHDL dom Names pyGHDL dom Names SlicedName _iirNode}@anchor{737}
17974 @deffn {Attribute} _iirNode:  Iir
17975 @end deffn
17976 @end deffn
17978 @geindex SelectedName (class in pyGHDL.dom.Names)
17979 @anchor{pyGHDL/pyGHDL dom Names pyGHDL dom Names SelectedName}@anchor{708}
17980 @deffn {Class} pyGHDL.dom.Names.SelectedName (node, identifier, prefix)
17982 @subsubheading Inheritance
17984 @image{inheritance-b82cc93b659af4689f4f24f86de4f76d417d655d,,,[graphviz],png}
17986 @subsubheading Members
17989 @geindex Has_Prefix (pyGHDL.dom.Names.SelectedName property)
17990 @anchor{pyGHDL/pyGHDL dom Names pyGHDL dom Names SelectedName Has_Prefix}@anchor{738}
17991 @deffn {Property} Has_Prefix:  bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
17993 @*Return type: 
17994 bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
17996 @end deffn
17998 @geindex Identifier (pyGHDL.dom.Names.SelectedName property)
17999 @anchor{pyGHDL/pyGHDL dom Names pyGHDL dom Names SelectedName Identifier}@anchor{739}
18000 @deffn {Property} Identifier:  str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
18002 @*Return type: 
18003 str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
18005 @end deffn
18007 @geindex Position (pyGHDL.dom.Names.SelectedName property)
18008 @anchor{pyGHDL/pyGHDL dom Names pyGHDL dom Names SelectedName Position}@anchor{73a}
18009 @deffn {Property} Position:  @ref{200,,pyGHDL.dom.Position}
18011 @*Return type: 
18012 @ref{200,,Position}
18014 @end deffn
18016 @geindex Prefix (pyGHDL.dom.Names.SelectedName property)
18017 @anchor{pyGHDL/pyGHDL dom Names pyGHDL dom Names SelectedName Prefix}@anchor{73b}
18018 @deffn {Property} Prefix:  Optional[pyVHDLModel.SyntaxModel.Name@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Name}]
18020 @*Return type: 
18021 Optional@footnote{https://docs.python.org/3.6/library/typing.html#typing.Optional}[Name@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Name}]
18023 @end deffn
18025 @geindex Root (pyGHDL.dom.Names.SelectedName property)
18026 @anchor{pyGHDL/pyGHDL dom Names pyGHDL dom Names SelectedName Root}@anchor{73c}
18027 @deffn {Property} Root:  pyVHDLModel.SyntaxModel.Name@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Name}
18029 @*Return type: 
18030 Name@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Name}
18032 @end deffn
18034 @geindex _position (pyGHDL.dom.Names.SelectedName attribute)
18035 @anchor{pyGHDL/pyGHDL dom Names pyGHDL dom Names SelectedName _position}@anchor{73d}
18036 @deffn {Attribute} _position:  @ref{200,,Position}  =  None
18037 @end deffn
18039 @geindex _identifier (pyGHDL.dom.Names.SelectedName attribute)
18040 @anchor{pyGHDL/pyGHDL dom Names pyGHDL dom Names SelectedName _identifier}@anchor{73e}
18041 @deffn {Attribute} _identifier:  str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
18042 @end deffn
18044 @geindex _root (pyGHDL.dom.Names.SelectedName attribute)
18045 @anchor{pyGHDL/pyGHDL dom Names pyGHDL dom Names SelectedName _root}@anchor{73f}
18046 @deffn {Attribute} _root:  Optional[@ref{354,,Name}]
18047 @end deffn
18049 @geindex _prefix (pyGHDL.dom.Names.SelectedName attribute)
18050 @anchor{pyGHDL/pyGHDL dom Names pyGHDL dom Names SelectedName _prefix}@anchor{740}
18051 @deffn {Attribute} _prefix:  Optional[@ref{354,,Name}]
18052 @end deffn
18054 @geindex _iirNode (pyGHDL.dom.Names.SelectedName attribute)
18055 @anchor{pyGHDL/pyGHDL dom Names pyGHDL dom Names SelectedName _iirNode}@anchor{741}
18056 @deffn {Attribute} _iirNode:  Iir
18057 @end deffn
18058 @end deffn
18060 @geindex AttributeName (class in pyGHDL.dom.Names)
18061 @anchor{pyGHDL/pyGHDL dom Names pyGHDL dom Names AttributeName}@anchor{709}
18062 @deffn {Class} pyGHDL.dom.Names.AttributeName (node, identifier, prefix)
18064 @subsubheading Inheritance
18066 @image{inheritance-5004cac115c27dcc73b81e5efeee46da8f5e5757,,,[graphviz],png}
18068 @subsubheading Members
18071 @geindex Has_Prefix (pyGHDL.dom.Names.AttributeName property)
18072 @anchor{pyGHDL/pyGHDL dom Names pyGHDL dom Names AttributeName Has_Prefix}@anchor{742}
18073 @deffn {Property} Has_Prefix:  bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
18075 @*Return type: 
18076 bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
18078 @end deffn
18080 @geindex Identifier (pyGHDL.dom.Names.AttributeName property)
18081 @anchor{pyGHDL/pyGHDL dom Names pyGHDL dom Names AttributeName Identifier}@anchor{743}
18082 @deffn {Property} Identifier:  str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
18084 @*Return type: 
18085 str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
18087 @end deffn
18089 @geindex Position (pyGHDL.dom.Names.AttributeName property)
18090 @anchor{pyGHDL/pyGHDL dom Names pyGHDL dom Names AttributeName Position}@anchor{744}
18091 @deffn {Property} Position:  @ref{200,,pyGHDL.dom.Position}
18093 @*Return type: 
18094 @ref{200,,Position}
18096 @end deffn
18098 @geindex Prefix (pyGHDL.dom.Names.AttributeName property)
18099 @anchor{pyGHDL/pyGHDL dom Names pyGHDL dom Names AttributeName Prefix}@anchor{745}
18100 @deffn {Property} Prefix:  Optional[pyVHDLModel.SyntaxModel.Name@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Name}]
18102 @*Return type: 
18103 Optional@footnote{https://docs.python.org/3.6/library/typing.html#typing.Optional}[Name@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Name}]
18105 @end deffn
18107 @geindex Root (pyGHDL.dom.Names.AttributeName property)
18108 @anchor{pyGHDL/pyGHDL dom Names pyGHDL dom Names AttributeName Root}@anchor{746}
18109 @deffn {Property} Root:  pyVHDLModel.SyntaxModel.Name@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Name}
18111 @*Return type: 
18112 Name@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Name}
18114 @end deffn
18116 @geindex _position (pyGHDL.dom.Names.AttributeName attribute)
18117 @anchor{pyGHDL/pyGHDL dom Names pyGHDL dom Names AttributeName _position}@anchor{747}
18118 @deffn {Attribute} _position:  @ref{200,,Position}  =  None
18119 @end deffn
18121 @geindex _identifier (pyGHDL.dom.Names.AttributeName attribute)
18122 @anchor{pyGHDL/pyGHDL dom Names pyGHDL dom Names AttributeName _identifier}@anchor{748}
18123 @deffn {Attribute} _identifier:  str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
18124 @end deffn
18126 @geindex _root (pyGHDL.dom.Names.AttributeName attribute)
18127 @anchor{pyGHDL/pyGHDL dom Names pyGHDL dom Names AttributeName _root}@anchor{749}
18128 @deffn {Attribute} _root:  Optional[@ref{354,,Name}]
18129 @end deffn
18131 @geindex _prefix (pyGHDL.dom.Names.AttributeName attribute)
18132 @anchor{pyGHDL/pyGHDL dom Names pyGHDL dom Names AttributeName _prefix}@anchor{74a}
18133 @deffn {Attribute} _prefix:  Optional[@ref{354,,Name}]
18134 @end deffn
18136 @geindex _iirNode (pyGHDL.dom.Names.AttributeName attribute)
18137 @anchor{pyGHDL/pyGHDL dom Names pyGHDL dom Names AttributeName _iirNode}@anchor{74b}
18138 @deffn {Attribute} _iirNode:  Iir
18139 @end deffn
18140 @end deffn
18142 @geindex AllName (class in pyGHDL.dom.Names)
18143 @anchor{pyGHDL/pyGHDL dom Names pyGHDL dom Names AllName}@anchor{70a}
18144 @deffn {Class} pyGHDL.dom.Names.AllName (node, prefix)
18146 @subsubheading Inheritance
18148 @image{inheritance-183243b8ac131125bdfcd3f09d3af62f56bf330f,,,[graphviz],png}
18150 @subsubheading Members
18153 @geindex Has_Prefix (pyGHDL.dom.Names.AllName property)
18154 @anchor{pyGHDL/pyGHDL dom Names pyGHDL dom Names AllName Has_Prefix}@anchor{74c}
18155 @deffn {Property} Has_Prefix:  bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
18157 @*Return type: 
18158 bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
18160 @end deffn
18162 @geindex Identifier (pyGHDL.dom.Names.AllName property)
18163 @anchor{pyGHDL/pyGHDL dom Names pyGHDL dom Names AllName Identifier}@anchor{74d}
18164 @deffn {Property} Identifier:  str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
18166 @*Return type: 
18167 str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
18169 @end deffn
18171 @geindex Position (pyGHDL.dom.Names.AllName property)
18172 @anchor{pyGHDL/pyGHDL dom Names pyGHDL dom Names AllName Position}@anchor{74e}
18173 @deffn {Property} Position:  @ref{200,,pyGHDL.dom.Position}
18175 @*Return type: 
18176 @ref{200,,Position}
18178 @end deffn
18180 @geindex Prefix (pyGHDL.dom.Names.AllName property)
18181 @anchor{pyGHDL/pyGHDL dom Names pyGHDL dom Names AllName Prefix}@anchor{74f}
18182 @deffn {Property} Prefix:  Optional[pyVHDLModel.SyntaxModel.Name@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Name}]
18184 @*Return type: 
18185 Optional@footnote{https://docs.python.org/3.6/library/typing.html#typing.Optional}[Name@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Name}]
18187 @end deffn
18189 @geindex Root (pyGHDL.dom.Names.AllName property)
18190 @anchor{pyGHDL/pyGHDL dom Names pyGHDL dom Names AllName Root}@anchor{750}
18191 @deffn {Property} Root:  pyVHDLModel.SyntaxModel.Name@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Name}
18193 @*Return type: 
18194 Name@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Name}
18196 @end deffn
18198 @geindex _position (pyGHDL.dom.Names.AllName attribute)
18199 @anchor{pyGHDL/pyGHDL dom Names pyGHDL dom Names AllName _position}@anchor{751}
18200 @deffn {Attribute} _position:  @ref{200,,Position}  =  None
18201 @end deffn
18203 @geindex _identifier (pyGHDL.dom.Names.AllName attribute)
18204 @anchor{pyGHDL/pyGHDL dom Names pyGHDL dom Names AllName _identifier}@anchor{752}
18205 @deffn {Attribute} _identifier:  str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
18206 @end deffn
18208 @geindex _root (pyGHDL.dom.Names.AllName attribute)
18209 @anchor{pyGHDL/pyGHDL dom Names pyGHDL dom Names AllName _root}@anchor{753}
18210 @deffn {Attribute} _root:  Optional[@ref{354,,Name}]
18211 @end deffn
18213 @geindex _prefix (pyGHDL.dom.Names.AllName attribute)
18214 @anchor{pyGHDL/pyGHDL dom Names pyGHDL dom Names AllName _prefix}@anchor{754}
18215 @deffn {Attribute} _prefix:  Optional[@ref{354,,Name}]
18216 @end deffn
18218 @geindex _iirNode (pyGHDL.dom.Names.AllName attribute)
18219 @anchor{pyGHDL/pyGHDL dom Names pyGHDL dom Names AllName _iirNode}@anchor{755}
18220 @deffn {Attribute} _iirNode:  Iir
18221 @end deffn
18222 @end deffn
18224 @geindex OpenName (class in pyGHDL.dom.Names)
18225 @anchor{pyGHDL/pyGHDL dom Names pyGHDL dom Names OpenName}@anchor{70b}
18226 @deffn {Class} pyGHDL.dom.Names.OpenName (node)
18228 @subsubheading Inheritance
18230 @image{inheritance-5e5eafc6ea8c1f16a78542748a8c6680081e2eef,,,[graphviz],png}
18232 @subsubheading Members
18235 @geindex Has_Prefix (pyGHDL.dom.Names.OpenName property)
18236 @anchor{pyGHDL/pyGHDL dom Names pyGHDL dom Names OpenName Has_Prefix}@anchor{756}
18237 @deffn {Property} Has_Prefix:  bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
18239 @*Return type: 
18240 bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
18242 @end deffn
18244 @geindex Identifier (pyGHDL.dom.Names.OpenName property)
18245 @anchor{pyGHDL/pyGHDL dom Names pyGHDL dom Names OpenName Identifier}@anchor{757}
18246 @deffn {Property} Identifier:  str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
18248 @*Return type: 
18249 str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
18251 @end deffn
18253 @geindex Position (pyGHDL.dom.Names.OpenName property)
18254 @anchor{pyGHDL/pyGHDL dom Names pyGHDL dom Names OpenName Position}@anchor{758}
18255 @deffn {Property} Position:  @ref{200,,pyGHDL.dom.Position}
18257 @*Return type: 
18258 @ref{200,,Position}
18260 @end deffn
18262 @geindex Prefix (pyGHDL.dom.Names.OpenName property)
18263 @anchor{pyGHDL/pyGHDL dom Names pyGHDL dom Names OpenName Prefix}@anchor{759}
18264 @deffn {Property} Prefix:  Optional[pyVHDLModel.SyntaxModel.Name@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Name}]
18266 @*Return type: 
18267 Optional@footnote{https://docs.python.org/3.6/library/typing.html#typing.Optional}[Name@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Name}]
18269 @end deffn
18271 @geindex Root (pyGHDL.dom.Names.OpenName property)
18272 @anchor{pyGHDL/pyGHDL dom Names pyGHDL dom Names OpenName Root}@anchor{75a}
18273 @deffn {Property} Root:  pyVHDLModel.SyntaxModel.Name@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Name}
18275 @*Return type: 
18276 Name@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Name}
18278 @end deffn
18280 @geindex _position (pyGHDL.dom.Names.OpenName attribute)
18281 @anchor{pyGHDL/pyGHDL dom Names pyGHDL dom Names OpenName _position}@anchor{75b}
18282 @deffn {Attribute} _position:  @ref{200,,Position}  =  None
18283 @end deffn
18285 @geindex _identifier (pyGHDL.dom.Names.OpenName attribute)
18286 @anchor{pyGHDL/pyGHDL dom Names pyGHDL dom Names OpenName _identifier}@anchor{75c}
18287 @deffn {Attribute} _identifier:  str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
18288 @end deffn
18290 @geindex _root (pyGHDL.dom.Names.OpenName attribute)
18291 @anchor{pyGHDL/pyGHDL dom Names pyGHDL dom Names OpenName _root}@anchor{75d}
18292 @deffn {Attribute} _root:  Optional[@ref{354,,Name}]
18293 @end deffn
18295 @geindex _prefix (pyGHDL.dom.Names.OpenName attribute)
18296 @anchor{pyGHDL/pyGHDL dom Names pyGHDL dom Names OpenName _prefix}@anchor{75e}
18297 @deffn {Attribute} _prefix:  Optional[@ref{354,,Name}]
18298 @end deffn
18300 @geindex _iirNode (pyGHDL.dom.Names.OpenName attribute)
18301 @anchor{pyGHDL/pyGHDL dom Names pyGHDL dom Names OpenName _iirNode}@anchor{75f}
18302 @deffn {Attribute} _iirNode:  Iir
18303 @end deffn
18304 @end deffn
18306 @c # Load pre-defined aliases and graphical characters like © from docutils
18307 @c # <file> is used to denote the special path
18308 @c # <Python>\Lib\site-packages\docutils\parsers\rst\include
18310 @c This data file has been placed in the public domain.
18312 @c Derived from the Unicode character mappings available from
18313 @c <http://www.w3.org/2003/entities/xml/>.
18314 @c Processed by unicode2rstsubs.py, part of Docutils:
18315 @c <http://docutils.sourceforge.net>.
18317 @c This data file has been placed in the public domain.
18319 @c Derived from the Unicode character mappings available from
18320 @c <http://www.w3.org/2003/entities/xml/>.
18321 @c Processed by unicode2rstsubs.py, part of Docutils:
18322 @c <http://docutils.sourceforge.net>.
18324 @c # define a hard line break for HTML
18326 @node pyGHDL dom NonStandard,pyGHDL dom Object,pyGHDL dom Names,pyGHDL dom
18327 @anchor{pyGHDL/pyGHDL dom NonStandard doc}@anchor{760}@anchor{pyGHDL/pyGHDL dom NonStandard module-pyGHDL dom NonStandard}@anchor{12}@anchor{pyGHDL/pyGHDL dom NonStandard pyghdl-dom-nonstandard}@anchor{761}
18328 @subsection pyGHDL.dom.NonStandard
18331 @geindex module; pyGHDL.dom.NonStandard
18333 @cartouche
18334 @quotation Todo 
18335 Add a module documentation.
18336 @end quotation
18337 @end cartouche
18339 @c #-----------------------------------
18341 @strong{Classes}
18344 @itemize -
18346 @item 
18347 @ref{1ba,,Design}:
18348 A @code{Design} represents all loaded files (see @code{Document})
18350 @item 
18351 @ref{391,,Library}:
18352 A @code{Library} represents a VHDL library. It contains all @emph{primary} design
18354 @item 
18355 @ref{1c3,,Document}:
18356 A @code{Document} represents a sourcefile. It contains primary and secondary
18357 @end itemize
18359 @c #-----------------------------------
18361 @geindex Design (class in pyGHDL.dom.NonStandard)
18362 @anchor{pyGHDL/pyGHDL dom NonStandard pyGHDL dom NonStandard Design}@anchor{1ba}
18363 @deffn {Class} pyGHDL.dom.NonStandard.Design
18365 @subsubheading Inheritance
18367 @image{inheritance-f38840981c14894155a52915ba2b8f311061ac8d,,,[graphviz],png}
18369 @subsubheading Members
18372 @geindex __ghdl_init() (pyGHDL.dom.NonStandard.Design method)
18373 @anchor{pyGHDL/pyGHDL dom NonStandard pyGHDL dom NonStandard Design __ghdl_init}@anchor{762}
18374 @deffn {Method} __ghdl_init ()
18376 Initialization: set options and then load libraries.
18377 @end deffn
18379 @geindex AddDocument() (pyGHDL.dom.NonStandard.Design method)
18380 @anchor{pyGHDL/pyGHDL dom NonStandard pyGHDL dom NonStandard Design AddDocument}@anchor{763}
18381 @deffn {Method} AddDocument (document, library)
18383 @*Return type: 
18384 None@footnote{https://docs.python.org/3.6/library/constants.html#None}
18386 @end deffn
18388 @geindex Documents (pyGHDL.dom.NonStandard.Design property)
18389 @anchor{pyGHDL/pyGHDL dom NonStandard pyGHDL dom NonStandard Design Documents}@anchor{764}
18390 @deffn {Property} Documents:  List[pyVHDLModel.SyntaxModel.Document@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Document}]
18392 Returns a list of all documents (files) loaded for this design.
18394 @*Return type: 
18395 List@footnote{https://docs.python.org/3.6/library/typing.html#typing.List}[Document@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Document}]
18397 @end deffn
18399 @geindex GetLibrary() (pyGHDL.dom.NonStandard.Design method)
18400 @anchor{pyGHDL/pyGHDL dom NonStandard pyGHDL dom NonStandard Design GetLibrary}@anchor{765}
18401 @deffn {Method} GetLibrary (libraryName)
18403 @*Return type: 
18404 Library@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Library}
18406 @end deffn
18408 @geindex Libraries (pyGHDL.dom.NonStandard.Design property)
18409 @anchor{pyGHDL/pyGHDL dom NonStandard pyGHDL dom NonStandard Design Libraries}@anchor{766}
18410 @deffn {Property} Libraries:  Dict[str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str},  pyVHDLModel.SyntaxModel.Library@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Library}]
18412 Returns a list of all libraries specified for this design.
18414 @*Return type: 
18415 Dict@footnote{https://docs.python.org/3.6/library/typing.html#typing.Dict}[str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}, Library@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Library}]
18417 @end deffn
18419 @geindex Parent (pyGHDL.dom.NonStandard.Design property)
18420 @anchor{pyGHDL/pyGHDL dom NonStandard pyGHDL dom NonStandard Design Parent}@anchor{767}
18421 @deffn {Property} Parent:  pyVHDLModel.ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
18423 Returns a reference to the parent entity.
18425 @*Return type: 
18426 ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
18428 @end deffn
18430 @geindex _libraries (pyGHDL.dom.NonStandard.Design attribute)
18431 @anchor{pyGHDL/pyGHDL dom NonStandard pyGHDL dom NonStandard Design _libraries}@anchor{768}
18432 @deffn {Attribute} _libraries:  Dict[str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str},  @ref{391,,pyGHDL.dom.NonStandard.Library}]
18434 List of all libraries defined for a design.
18435 @end deffn
18437 @geindex _documents (pyGHDL.dom.NonStandard.Design attribute)
18438 @anchor{pyGHDL/pyGHDL dom NonStandard pyGHDL dom NonStandard Design _documents}@anchor{769}
18439 @deffn {Attribute} _documents:  List[@ref{1c3,,pyGHDL.dom.NonStandard.Document}]
18441 List of all documents loaded for a design.
18442 @end deffn
18444 @geindex _parent (pyGHDL.dom.NonStandard.Design attribute)
18445 @anchor{pyGHDL/pyGHDL dom NonStandard pyGHDL dom NonStandard Design _parent}@anchor{76a}
18446 @deffn {Attribute} _parent:  ModelEntity
18448 Reference to a parent entity in the model.
18449 @end deffn
18450 @end deffn
18452 @geindex Library (class in pyGHDL.dom.NonStandard)
18453 @anchor{pyGHDL/pyGHDL dom NonStandard pyGHDL dom NonStandard Library}@anchor{391}
18454 @deffn {Class} pyGHDL.dom.NonStandard.Library (identifier)
18456 @subsubheading Inheritance
18458 @image{inheritance-edcc1b497b0368e5390746f7ee1a9b6b0ff8bbd7,,,[graphviz],png}
18460 @subsubheading Members
18463 @geindex Architectures (pyGHDL.dom.NonStandard.Library property)
18464 @anchor{pyGHDL/pyGHDL dom NonStandard pyGHDL dom NonStandard Library Architectures}@anchor{76b}
18465 @deffn {Property} Architectures:  Dict[pyVHDLModel.SyntaxModel.Name@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Name},  List[pyVHDLModel.SyntaxModel.Architecture@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Architecture}]]
18467 Returns a list of all architectures declarations declared in this library.
18469 @*Return type: 
18470 Dict@footnote{https://docs.python.org/3.6/library/typing.html#typing.Dict}[Name@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Name}, List@footnote{https://docs.python.org/3.6/library/typing.html#typing.List}[Architecture@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Architecture}]]
18472 @end deffn
18474 @geindex Configurations (pyGHDL.dom.NonStandard.Library property)
18475 @anchor{pyGHDL/pyGHDL dom NonStandard pyGHDL dom NonStandard Library Configurations}@anchor{76c}
18476 @deffn {Property} Configurations:  List[pyVHDLModel.SyntaxModel.Configuration@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Configuration}]
18478 Returns a list of all configuration declarations declared in this library.
18480 @*Return type: 
18481 List@footnote{https://docs.python.org/3.6/library/typing.html#typing.List}[Configuration@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Configuration}]
18483 @end deffn
18485 @geindex Contexts (pyGHDL.dom.NonStandard.Library property)
18486 @anchor{pyGHDL/pyGHDL dom NonStandard pyGHDL dom NonStandard Library Contexts}@anchor{76d}
18487 @deffn {Property} Contexts:  List[pyVHDLModel.SyntaxModel.Context@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Context}]
18489 Returns a list of all context declarations declared in this library.
18491 @*Return type: 
18492 List@footnote{https://docs.python.org/3.6/library/typing.html#typing.List}[Context@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Context}]
18494 @end deffn
18496 @geindex Entities (pyGHDL.dom.NonStandard.Library property)
18497 @anchor{pyGHDL/pyGHDL dom NonStandard pyGHDL dom NonStandard Library Entities}@anchor{76e}
18498 @deffn {Property} Entities:  List[pyVHDLModel.SyntaxModel.Entity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Entity}]
18500 Returns a list of all entity declarations declared in this library.
18502 @*Return type: 
18503 List@footnote{https://docs.python.org/3.6/library/typing.html#typing.List}[Entity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Entity}]
18505 @end deffn
18507 @geindex Identifier (pyGHDL.dom.NonStandard.Library property)
18508 @anchor{pyGHDL/pyGHDL dom NonStandard pyGHDL dom NonStandard Library Identifier}@anchor{76f}
18509 @deffn {Property} Identifier:  str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
18511 Returns a model entity’s identifier (name).
18513 @*Return type: 
18514 str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
18516 @end deffn
18518 @geindex PackageBodies (pyGHDL.dom.NonStandard.Library property)
18519 @anchor{pyGHDL/pyGHDL dom NonStandard pyGHDL dom NonStandard Library PackageBodies}@anchor{770}
18520 @deffn {Property} PackageBodies:  List[pyVHDLModel.SyntaxModel.PackageBody@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.PackageBody}]
18522 Returns a list of all package body declarations declared in this library.
18524 @*Return type: 
18525 List@footnote{https://docs.python.org/3.6/library/typing.html#typing.List}[PackageBody@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.PackageBody}]
18527 @end deffn
18529 @geindex Packages (pyGHDL.dom.NonStandard.Library property)
18530 @anchor{pyGHDL/pyGHDL dom NonStandard pyGHDL dom NonStandard Library Packages}@anchor{771}
18531 @deffn {Property} Packages:  List[pyVHDLModel.SyntaxModel.Package@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Package}]
18533 Returns a list of all package declarations declared in this library.
18535 @*Return type: 
18536 List@footnote{https://docs.python.org/3.6/library/typing.html#typing.List}[Package@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Package}]
18538 @end deffn
18540 @geindex Parent (pyGHDL.dom.NonStandard.Library property)
18541 @anchor{pyGHDL/pyGHDL dom NonStandard pyGHDL dom NonStandard Library Parent}@anchor{772}
18542 @deffn {Property} Parent:  pyVHDLModel.ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
18544 Returns a reference to the parent entity.
18546 @*Return type: 
18547 ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
18549 @end deffn
18551 @geindex _contexts (pyGHDL.dom.NonStandard.Library attribute)
18552 @anchor{pyGHDL/pyGHDL dom NonStandard pyGHDL dom NonStandard Library _contexts}@anchor{773}
18553 @deffn {Attribute} _contexts:  List[@ref{36b,,Context}]
18555 List of all contexts defined in a library.
18556 @end deffn
18558 @geindex _configurations (pyGHDL.dom.NonStandard.Library attribute)
18559 @anchor{pyGHDL/pyGHDL dom NonStandard pyGHDL dom NonStandard Library _configurations}@anchor{774}
18560 @deffn {Attribute} _configurations:  List[@ref{36c,,Configuration}]
18562 List of all configurations defined in a library.
18563 @end deffn
18565 @geindex _entities (pyGHDL.dom.NonStandard.Library attribute)
18566 @anchor{pyGHDL/pyGHDL dom NonStandard pyGHDL dom NonStandard Library _entities}@anchor{775}
18567 @deffn {Attribute} _entities:  List[@ref{367,,Entity}]
18569 List of all entities defined in a library.
18570 @end deffn
18572 @geindex _architectures (pyGHDL.dom.NonStandard.Library attribute)
18573 @anchor{pyGHDL/pyGHDL dom NonStandard pyGHDL dom NonStandard Library _architectures}@anchor{776}
18574 @deffn {Attribute} _architectures:  Dict[@ref{354,,Name},  List[@ref{368,,Architecture}]]
18576 Dictionary of all architectures defined in a library.
18577 @end deffn
18579 @geindex _packages (pyGHDL.dom.NonStandard.Library attribute)
18580 @anchor{pyGHDL/pyGHDL dom NonStandard pyGHDL dom NonStandard Library _packages}@anchor{777}
18581 @deffn {Attribute} _packages:  List[@ref{369,,Package}]
18583 List of all packages defined in a library.
18584 @end deffn
18586 @geindex _packageBodies (pyGHDL.dom.NonStandard.Library attribute)
18587 @anchor{pyGHDL/pyGHDL dom NonStandard pyGHDL dom NonStandard Library _packageBodies}@anchor{778}
18588 @deffn {Attribute} _packageBodies:  List[@ref{36a,,PackageBody}]
18590 List of all package bodies defined in a library.
18591 @end deffn
18593 @geindex _parent (pyGHDL.dom.NonStandard.Library attribute)
18594 @anchor{pyGHDL/pyGHDL dom NonStandard pyGHDL dom NonStandard Library _parent}@anchor{779}
18595 @deffn {Attribute} _parent:  ModelEntity
18597 Reference to a parent entity in the model.
18598 @end deffn
18600 @geindex _identifier (pyGHDL.dom.NonStandard.Library attribute)
18601 @anchor{pyGHDL/pyGHDL dom NonStandard pyGHDL dom NonStandard Library _identifier}@anchor{77a}
18602 @deffn {Attribute} _identifier:  str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
18604 The identifier of a model entity.
18605 @end deffn
18606 @end deffn
18608 @geindex Document (class in pyGHDL.dom.NonStandard)
18609 @anchor{pyGHDL/pyGHDL dom NonStandard pyGHDL dom NonStandard Document}@anchor{1c3}
18610 @deffn {Class} pyGHDL.dom.NonStandard.Document (path, sourceCode=None, dontParse=False, dontTranslate=False)
18612 @subsubheading Inheritance
18614 @image{inheritance-d1a9e6821196cb925e728e43befd24a969d40dca,,,[graphviz],png}
18616 @subsubheading Members
18619 @geindex __ghdlFileID (pyGHDL.dom.NonStandard.Document attribute)
18620 @anchor{pyGHDL/pyGHDL dom NonStandard pyGHDL dom NonStandard Document __ghdlFileID}@anchor{77b}
18621 @deffn {Attribute} __ghdlFileID:  Any
18622 @end deffn
18624 @geindex __ghdlSourceFileEntry (pyGHDL.dom.NonStandard.Document attribute)
18625 @anchor{pyGHDL/pyGHDL dom NonStandard pyGHDL dom NonStandard Document __ghdlSourceFileEntry}@anchor{77c}
18626 @deffn {Attribute} __ghdlSourceFileEntry:  Any
18627 @end deffn
18629 @geindex _filename (pyGHDL.dom.NonStandard.Document attribute)
18630 @anchor{pyGHDL/pyGHDL dom NonStandard pyGHDL dom NonStandard Document _filename}@anchor{77d}
18631 @deffn {Attribute} _filename:  pathlib.Path@footnote{https://docs.python.org/3.6/library/pathlib.html#pathlib.Path}
18632 @end deffn
18634 @geindex __ghdlFile (pyGHDL.dom.NonStandard.Document attribute)
18635 @anchor{pyGHDL/pyGHDL dom NonStandard pyGHDL dom NonStandard Document __ghdlFile}@anchor{77e}
18636 @deffn {Attribute} __ghdlFile:  Any
18637 @end deffn
18639 @geindex __ghdlProcessingTime (pyGHDL.dom.NonStandard.Document attribute)
18640 @anchor{pyGHDL/pyGHDL dom NonStandard pyGHDL dom NonStandard Document __ghdlProcessingTime}@anchor{77f}
18641 @deffn {Attribute} __ghdlProcessingTime:  float@footnote{https://docs.python.org/3.6/library/functions.html#float}
18642 @end deffn
18644 @geindex __domTranslateTime (pyGHDL.dom.NonStandard.Document attribute)
18645 @anchor{pyGHDL/pyGHDL dom NonStandard pyGHDL dom NonStandard Document __domTranslateTime}@anchor{780}
18646 @deffn {Attribute} __domTranslateTime:  float@footnote{https://docs.python.org/3.6/library/functions.html#float}
18647 @end deffn
18649 @geindex __loadFromPath() (pyGHDL.dom.NonStandard.Document method)
18650 @anchor{pyGHDL/pyGHDL dom NonStandard pyGHDL dom NonStandard Document __loadFromPath}@anchor{781}
18651 @deffn {Method} __loadFromPath ()
18652 @end deffn
18654 @geindex Architectures (pyGHDL.dom.NonStandard.Document property)
18655 @anchor{pyGHDL/pyGHDL dom NonStandard pyGHDL dom NonStandard Document Architectures}@anchor{782}
18656 @deffn {Property} Architectures:  List[pyVHDLModel.SyntaxModel.Architecture@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Architecture}]
18658 Returns a list of all architecture declarations found in this document.
18660 @*Return type: 
18661 List@footnote{https://docs.python.org/3.6/library/typing.html#typing.List}[Architecture@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Architecture}]
18663 @end deffn
18665 @geindex Configurations (pyGHDL.dom.NonStandard.Document property)
18666 @anchor{pyGHDL/pyGHDL dom NonStandard pyGHDL dom NonStandard Document Configurations}@anchor{783}
18667 @deffn {Property} Configurations:  List[pyVHDLModel.SyntaxModel.Configuration@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Configuration}]
18669 Returns a list of all configuration declarations found in this document.
18671 @*Return type: 
18672 List@footnote{https://docs.python.org/3.6/library/typing.html#typing.List}[Configuration@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Configuration}]
18674 @end deffn
18676 @geindex Contexts (pyGHDL.dom.NonStandard.Document property)
18677 @anchor{pyGHDL/pyGHDL dom NonStandard pyGHDL dom NonStandard Document Contexts}@anchor{784}
18678 @deffn {Property} Contexts:  List[pyVHDLModel.SyntaxModel.Context@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Context}]
18680 Returns a list of all context declarations found in this document.
18682 @*Return type: 
18683 List@footnote{https://docs.python.org/3.6/library/typing.html#typing.List}[Context@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Context}]
18685 @end deffn
18687 @geindex Entities (pyGHDL.dom.NonStandard.Document property)
18688 @anchor{pyGHDL/pyGHDL dom NonStandard pyGHDL dom NonStandard Document Entities}@anchor{785}
18689 @deffn {Property} Entities:  List[pyVHDLModel.SyntaxModel.Entity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Entity}]
18691 Returns a list of all entity declarations found in this document.
18693 @*Return type: 
18694 List@footnote{https://docs.python.org/3.6/library/typing.html#typing.List}[Entity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Entity}]
18696 @end deffn
18698 @geindex PackageBodies (pyGHDL.dom.NonStandard.Document property)
18699 @anchor{pyGHDL/pyGHDL dom NonStandard pyGHDL dom NonStandard Document PackageBodies}@anchor{786}
18700 @deffn {Property} PackageBodies:  List[pyVHDLModel.SyntaxModel.PackageBody@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.PackageBody}]
18702 Returns a list of all package body declarations found in this document.
18704 @*Return type: 
18705 List@footnote{https://docs.python.org/3.6/library/typing.html#typing.List}[PackageBody@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.PackageBody}]
18707 @end deffn
18709 @geindex Packages (pyGHDL.dom.NonStandard.Document property)
18710 @anchor{pyGHDL/pyGHDL dom NonStandard pyGHDL dom NonStandard Document Packages}@anchor{787}
18711 @deffn {Property} Packages:  List[pyVHDLModel.SyntaxModel.Package@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Package}]
18713 Returns a list of all package declarations found in this document.
18715 @*Return type: 
18716 List@footnote{https://docs.python.org/3.6/library/typing.html#typing.List}[Package@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Package}]
18718 @end deffn
18720 @geindex Parent (pyGHDL.dom.NonStandard.Document property)
18721 @anchor{pyGHDL/pyGHDL dom NonStandard pyGHDL dom NonStandard Document Parent}@anchor{788}
18722 @deffn {Property} Parent:  pyVHDLModel.ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
18724 Returns a reference to the parent entity.
18726 @*Return type: 
18727 ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
18729 @end deffn
18731 @geindex Path (pyGHDL.dom.NonStandard.Document property)
18732 @anchor{pyGHDL/pyGHDL dom NonStandard pyGHDL dom NonStandard Document Path}@anchor{789}
18733 @deffn {Property} Path:  pathlib.Path@footnote{https://docs.python.org/3.6/library/pathlib.html#pathlib.Path}
18735 @*Return type: 
18736 Path@footnote{https://docs.python.org/3.6/library/pathlib.html#pathlib.Path}
18738 @end deffn
18740 @geindex VerificationUnits (pyGHDL.dom.NonStandard.Document property)
18741 @anchor{pyGHDL/pyGHDL dom NonStandard pyGHDL dom NonStandard Document VerificationUnits}@anchor{78a}
18742 @deffn {Property} VerificationUnits:  List[pyVHDLModel.PSLModel.VerificationUnit@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.PSLModel.html#pyVHDLModel.PSLModel.VerificationUnit}]
18744 Returns a list of all configuration declarations found in this document.
18746 @*Return type: 
18747 List@footnote{https://docs.python.org/3.6/library/typing.html#typing.List}[VerificationUnit@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.PSLModel.html#pyVHDLModel.PSLModel.VerificationUnit}]
18749 @end deffn
18751 @geindex __loadFromString() (pyGHDL.dom.NonStandard.Document method)
18752 @anchor{pyGHDL/pyGHDL dom NonStandard pyGHDL dom NonStandard Document __loadFromString}@anchor{78b}
18753 @deffn {Method} __loadFromString (sourceCode)
18754 @end deffn
18756 @geindex _path (pyGHDL.dom.NonStandard.Document attribute)
18757 @anchor{pyGHDL/pyGHDL dom NonStandard pyGHDL dom NonStandard Document _path}@anchor{78c}
18758 @deffn {Attribute} _path:  Path
18760 path to the document. @code{None} if virtual document.
18761 @end deffn
18763 @geindex _contexts (pyGHDL.dom.NonStandard.Document attribute)
18764 @anchor{pyGHDL/pyGHDL dom NonStandard pyGHDL dom NonStandard Document _contexts}@anchor{78d}
18765 @deffn {Attribute} _contexts:  List['Context']
18767 List of all contexts defined in a document.
18768 @end deffn
18770 @geindex _configurations (pyGHDL.dom.NonStandard.Document attribute)
18771 @anchor{pyGHDL/pyGHDL dom NonStandard pyGHDL dom NonStandard Document _configurations}@anchor{78e}
18772 @deffn {Attribute} _configurations:  List['Configuration']
18774 List of all configurations defined in a document.
18775 @end deffn
18777 @geindex _verificationUnits (pyGHDL.dom.NonStandard.Document attribute)
18778 @anchor{pyGHDL/pyGHDL dom NonStandard pyGHDL dom NonStandard Document _verificationUnits}@anchor{78f}
18779 @deffn {Attribute} _verificationUnits:  List['VerificationUnit']
18781 List of all PSL verification units defined in a document.
18782 @end deffn
18784 @geindex _entities (pyGHDL.dom.NonStandard.Document attribute)
18785 @anchor{pyGHDL/pyGHDL dom NonStandard pyGHDL dom NonStandard Document _entities}@anchor{790}
18786 @deffn {Attribute} _entities:  List['Entity']
18788 List of all entities defined in a document.
18789 @end deffn
18791 @geindex _architectures (pyGHDL.dom.NonStandard.Document attribute)
18792 @anchor{pyGHDL/pyGHDL dom NonStandard pyGHDL dom NonStandard Document _architectures}@anchor{791}
18793 @deffn {Attribute} _architectures:  List['Architecture']
18795 List of all architectures defined in a document.
18796 @end deffn
18798 @geindex _packages (pyGHDL.dom.NonStandard.Document attribute)
18799 @anchor{pyGHDL/pyGHDL dom NonStandard pyGHDL dom NonStandard Document _packages}@anchor{792}
18800 @deffn {Attribute} _packages:  List['Package']
18802 List of all packages defined in a document.
18803 @end deffn
18805 @geindex _packageBodies (pyGHDL.dom.NonStandard.Document attribute)
18806 @anchor{pyGHDL/pyGHDL dom NonStandard pyGHDL dom NonStandard Document _packageBodies}@anchor{793}
18807 @deffn {Attribute} _packageBodies:  List['PackageBody']
18809 List of all package bodies defined in a document.
18810 @end deffn
18812 @geindex _parent (pyGHDL.dom.NonStandard.Document attribute)
18813 @anchor{pyGHDL/pyGHDL dom NonStandard pyGHDL dom NonStandard Document _parent}@anchor{794}
18814 @deffn {Attribute} _parent:  ModelEntity
18816 Reference to a parent entity in the model.
18817 @end deffn
18819 @geindex translate() (pyGHDL.dom.NonStandard.Document method)
18820 @anchor{pyGHDL/pyGHDL dom NonStandard pyGHDL dom NonStandard Document translate}@anchor{795}
18821 @deffn {Method} translate ()
18822 @end deffn
18824 @geindex LibGHDLProcessingTime (pyGHDL.dom.NonStandard.Document property)
18825 @anchor{pyGHDL/pyGHDL dom NonStandard pyGHDL dom NonStandard Document LibGHDLProcessingTime}@anchor{796}
18826 @deffn {Property} LibGHDLProcessingTime:  float@footnote{https://docs.python.org/3.6/library/functions.html#float}
18828 @*Return type: 
18829 float@footnote{https://docs.python.org/3.6/library/functions.html#float}
18831 @end deffn
18833 @geindex DOMTranslationTime (pyGHDL.dom.NonStandard.Document property)
18834 @anchor{pyGHDL/pyGHDL dom NonStandard pyGHDL dom NonStandard Document DOMTranslationTime}@anchor{797}
18835 @deffn {Property} DOMTranslationTime:  float@footnote{https://docs.python.org/3.6/library/functions.html#float}
18837 @*Return type: 
18838 float@footnote{https://docs.python.org/3.6/library/functions.html#float}
18840 @end deffn
18841 @end deffn
18843 @c # Load pre-defined aliases and graphical characters like © from docutils
18844 @c # <file> is used to denote the special path
18845 @c # <Python>\Lib\site-packages\docutils\parsers\rst\include
18847 @c This data file has been placed in the public domain.
18849 @c Derived from the Unicode character mappings available from
18850 @c <http://www.w3.org/2003/entities/xml/>.
18851 @c Processed by unicode2rstsubs.py, part of Docutils:
18852 @c <http://docutils.sourceforge.net>.
18854 @c This data file has been placed in the public domain.
18856 @c Derived from the Unicode character mappings available from
18857 @c <http://www.w3.org/2003/entities/xml/>.
18858 @c Processed by unicode2rstsubs.py, part of Docutils:
18859 @c <http://docutils.sourceforge.net>.
18861 @c # define a hard line break for HTML
18863 @node pyGHDL dom Object,pyGHDL dom PSL,pyGHDL dom NonStandard,pyGHDL dom
18864 @anchor{pyGHDL/pyGHDL dom Object doc}@anchor{798}@anchor{pyGHDL/pyGHDL dom Object module-pyGHDL dom Object}@anchor{13}@anchor{pyGHDL/pyGHDL dom Object pyghdl-dom-object}@anchor{799}
18865 @subsection pyGHDL.dom.Object
18868 @geindex module; pyGHDL.dom.Object
18870 @c #-----------------------------------
18872 @strong{Classes}
18875 @itemize -
18877 @item 
18878 @ref{206,,Constant}:
18879 @code{ModelEntity} is the base class for all classes in the VHDL language model,
18881 @item 
18882 @ref{79a,,DeferredConstant}:
18883 @code{ModelEntity} is the base class for all classes in the VHDL language model,
18885 @item 
18886 @ref{207,,Variable}:
18887 @code{ModelEntity} is the base class for all classes in the VHDL language model,
18889 @item 
18890 @ref{79b,,SharedVariable}:
18891 @code{ModelEntity} is the base class for all classes in the VHDL language model,
18893 @item 
18894 @ref{208,,Signal}:
18895 @code{ModelEntity} is the base class for all classes in the VHDL language model,
18897 @item 
18898 @ref{79c,,File}:
18899 @code{ModelEntity} is the base class for all classes in the VHDL language model,
18900 @end itemize
18902 @c #-----------------------------------
18904 @geindex Constant (class in pyGHDL.dom.Object)
18905 @anchor{pyGHDL/pyGHDL dom Object pyGHDL dom Object Constant}@anchor{206}
18906 @deffn {Class} pyGHDL.dom.Object.Constant (node, identifiers, subtype, defaultExpression)
18908 @subsubheading Inheritance
18910 @image{inheritance-97bbdea2dc6705aa4c4f2e14aa3b56553a47dba4,,,[graphviz],png}
18912 @subsubheading Members
18915 @geindex parse() (pyGHDL.dom.Object.Constant class method)
18916 @anchor{pyGHDL/pyGHDL dom Object pyGHDL dom Object Constant parse}@anchor{79d}
18917 @deffn {Method} classmethod  parse (constantNode)
18919 @*Return type: 
18920 Union@footnote{https://docs.python.org/3.6/library/typing.html#typing.Union}[@ref{206,,Constant}, @ref{79a,,DeferredConstant}]
18922 @end deffn
18924 @geindex DefaultExpression (pyGHDL.dom.Object.Constant property)
18925 @anchor{pyGHDL/pyGHDL dom Object pyGHDL dom Object Constant DefaultExpression}@anchor{79e}
18926 @deffn {Property} DefaultExpression:  Union[BaseExpression,  @ref{203,,QualifiedExpression},  @ref{204,,FunctionCall},  @ref{205,,TypeConversion},  @ref{206,,Constant},  ConstantSymbol,  @ref{207,,Variable},  VariableSymbol,  @ref{208,,Signal},  SignalSymbol,  Literal]
18928 @*Return type: 
18929 Union@footnote{https://docs.python.org/3.6/library/typing.html#typing.Union}[BaseExpression@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.BaseExpression}, QualifiedExpression@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.QualifiedExpression}, FunctionCall@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.FunctionCall}, TypeConversion@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.TypeConversion}, Constant@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Constant}, ConstantSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.ConstantSymbol}, Variable@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Variable}, VariableSymbol, Signal@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Signal}, SignalSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.SignalSymbol}, @code{Literal}]
18931 @end deffn
18933 @geindex Identifiers (pyGHDL.dom.Object.Constant property)
18934 @anchor{pyGHDL/pyGHDL dom Object pyGHDL dom Object Constant Identifiers}@anchor{79f}
18935 @deffn {Property} Identifiers:  List[str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}]
18937 Returns a model entity’s list of identifiers (name).
18939 @*Return type: 
18940 List@footnote{https://docs.python.org/3.6/library/typing.html#typing.List}[str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}]
18942 @end deffn
18944 @geindex Parent (pyGHDL.dom.Object.Constant property)
18945 @anchor{pyGHDL/pyGHDL dom Object pyGHDL dom Object Constant Parent}@anchor{7a0}
18946 @deffn {Property} Parent:  pyVHDLModel.ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
18948 Returns a reference to the parent entity.
18950 @*Return type: 
18951 ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
18953 @end deffn
18955 @geindex Position (pyGHDL.dom.Object.Constant property)
18956 @anchor{pyGHDL/pyGHDL dom Object pyGHDL dom Object Constant Position}@anchor{7a1}
18957 @deffn {Property} Position:  @ref{200,,pyGHDL.dom.Position}
18959 @*Return type: 
18960 @ref{200,,Position}
18962 @end deffn
18964 @geindex Subtype (pyGHDL.dom.Object.Constant property)
18965 @anchor{pyGHDL/pyGHDL dom Object pyGHDL dom Object Constant Subtype}@anchor{7a2}
18966 @deffn {Property} Subtype:  Union[pyVHDLModel.SyntaxModel.Subtype@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Subtype},  pyVHDLModel.SyntaxModel.SubtypeSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.SubtypeSymbol}]
18968 @*Return type: 
18969 Union@footnote{https://docs.python.org/3.6/library/typing.html#typing.Union}[Subtype@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Subtype}, SubtypeSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.SubtypeSymbol}]
18971 @end deffn
18973 @geindex _position (pyGHDL.dom.Object.Constant attribute)
18974 @anchor{pyGHDL/pyGHDL dom Object pyGHDL dom Object Constant _position}@anchor{7a3}
18975 @deffn {Attribute} _position:  @ref{200,,Position}  =  None
18976 @end deffn
18978 @geindex _subtype (pyGHDL.dom.Object.Constant attribute)
18979 @anchor{pyGHDL/pyGHDL dom Object pyGHDL dom Object Constant _subtype}@anchor{7a4}
18980 @deffn {Attribute} _subtype:  Union[@ref{239,,Subtype},  SubtypeSymbol]
18981 @end deffn
18983 @geindex _parent (pyGHDL.dom.Object.Constant attribute)
18984 @anchor{pyGHDL/pyGHDL dom Object pyGHDL dom Object Constant _parent}@anchor{7a5}
18985 @deffn {Attribute} _parent:  ModelEntity
18987 Reference to a parent entity in the model.
18988 @end deffn
18990 @geindex _identifiers (pyGHDL.dom.Object.Constant attribute)
18991 @anchor{pyGHDL/pyGHDL dom Object pyGHDL dom Object Constant _identifiers}@anchor{7a6}
18992 @deffn {Attribute} _identifiers:  List[str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}]
18994 A list of identifiers.
18995 @end deffn
18997 @geindex _defaultExpression (pyGHDL.dom.Object.Constant attribute)
18998 @anchor{pyGHDL/pyGHDL dom Object pyGHDL dom Object Constant _defaultExpression}@anchor{7a7}
18999 @deffn {Attribute} _defaultExpression:  ExpressionUnion
19000 @end deffn
19002 @geindex _iirNode (pyGHDL.dom.Object.Constant attribute)
19003 @anchor{pyGHDL/pyGHDL dom Object pyGHDL dom Object Constant _iirNode}@anchor{7a8}
19004 @deffn {Attribute} _iirNode:  Iir
19005 @end deffn
19006 @end deffn
19008 @geindex DeferredConstant (class in pyGHDL.dom.Object)
19009 @anchor{pyGHDL/pyGHDL dom Object pyGHDL dom Object DeferredConstant}@anchor{79a}
19010 @deffn {Class} pyGHDL.dom.Object.DeferredConstant (node, identifiers, subtype)
19012 @subsubheading Inheritance
19014 @image{inheritance-f4b4c316191cd181ca947d036b29bbb70ae920cb,,,[graphviz],png}
19016 @subsubheading Members
19019 @geindex parse() (pyGHDL.dom.Object.DeferredConstant class method)
19020 @anchor{pyGHDL/pyGHDL dom Object pyGHDL dom Object DeferredConstant parse}@anchor{7a9}
19021 @deffn {Method} classmethod  parse (constantNode)
19023 @*Return type: 
19024 @ref{79a,,DeferredConstant}
19026 @end deffn
19028 @geindex ConstantReference (pyGHDL.dom.Object.DeferredConstant property)
19029 @anchor{pyGHDL/pyGHDL dom Object pyGHDL dom Object DeferredConstant ConstantReference}@anchor{7aa}
19030 @deffn {Property} ConstantReference:  pyVHDLModel.SyntaxModel.Constant@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Constant}
19032 @*Return type: 
19033 Constant@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Constant}
19035 @end deffn
19037 @geindex Identifiers (pyGHDL.dom.Object.DeferredConstant property)
19038 @anchor{pyGHDL/pyGHDL dom Object pyGHDL dom Object DeferredConstant Identifiers}@anchor{7ab}
19039 @deffn {Property} Identifiers:  List[str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}]
19041 Returns a model entity’s list of identifiers (name).
19043 @*Return type: 
19044 List@footnote{https://docs.python.org/3.6/library/typing.html#typing.List}[str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}]
19046 @end deffn
19048 @geindex Parent (pyGHDL.dom.Object.DeferredConstant property)
19049 @anchor{pyGHDL/pyGHDL dom Object pyGHDL dom Object DeferredConstant Parent}@anchor{7ac}
19050 @deffn {Property} Parent:  pyVHDLModel.ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
19052 Returns a reference to the parent entity.
19054 @*Return type: 
19055 ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
19057 @end deffn
19059 @geindex Position (pyGHDL.dom.Object.DeferredConstant property)
19060 @anchor{pyGHDL/pyGHDL dom Object pyGHDL dom Object DeferredConstant Position}@anchor{7ad}
19061 @deffn {Property} Position:  @ref{200,,pyGHDL.dom.Position}
19063 @*Return type: 
19064 @ref{200,,Position}
19066 @end deffn
19068 @geindex Subtype (pyGHDL.dom.Object.DeferredConstant property)
19069 @anchor{pyGHDL/pyGHDL dom Object pyGHDL dom Object DeferredConstant Subtype}@anchor{7ae}
19070 @deffn {Property} Subtype:  Union[pyVHDLModel.SyntaxModel.Subtype@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Subtype},  pyVHDLModel.SyntaxModel.SubtypeSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.SubtypeSymbol}]
19072 @*Return type: 
19073 Union@footnote{https://docs.python.org/3.6/library/typing.html#typing.Union}[Subtype@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Subtype}, SubtypeSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.SubtypeSymbol}]
19075 @end deffn
19077 @geindex _position (pyGHDL.dom.Object.DeferredConstant attribute)
19078 @anchor{pyGHDL/pyGHDL dom Object pyGHDL dom Object DeferredConstant _position}@anchor{7af}
19079 @deffn {Attribute} _position:  @ref{200,,Position}  =  None
19080 @end deffn
19082 @geindex _constantReference (pyGHDL.dom.Object.DeferredConstant attribute)
19083 @anchor{pyGHDL/pyGHDL dom Object pyGHDL dom Object DeferredConstant _constantReference}@anchor{7b0}
19084 @deffn {Attribute} _constantReference:  pyVHDLModel.SyntaxModel.Constant@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Constant}
19085 @end deffn
19087 @geindex _subtype (pyGHDL.dom.Object.DeferredConstant attribute)
19088 @anchor{pyGHDL/pyGHDL dom Object pyGHDL dom Object DeferredConstant _subtype}@anchor{7b1}
19089 @deffn {Attribute} _subtype:  SubtypeOrSymbol
19090 @end deffn
19092 @geindex _parent (pyGHDL.dom.Object.DeferredConstant attribute)
19093 @anchor{pyGHDL/pyGHDL dom Object pyGHDL dom Object DeferredConstant _parent}@anchor{7b2}
19094 @deffn {Attribute} _parent:  ModelEntity
19096 Reference to a parent entity in the model.
19097 @end deffn
19099 @geindex _identifiers (pyGHDL.dom.Object.DeferredConstant attribute)
19100 @anchor{pyGHDL/pyGHDL dom Object pyGHDL dom Object DeferredConstant _identifiers}@anchor{7b3}
19101 @deffn {Attribute} _identifiers:  List[str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}]
19103 A list of identifiers.
19104 @end deffn
19106 @geindex _iirNode (pyGHDL.dom.Object.DeferredConstant attribute)
19107 @anchor{pyGHDL/pyGHDL dom Object pyGHDL dom Object DeferredConstant _iirNode}@anchor{7b4}
19108 @deffn {Attribute} _iirNode:  Iir
19109 @end deffn
19110 @end deffn
19112 @geindex Variable (class in pyGHDL.dom.Object)
19113 @anchor{pyGHDL/pyGHDL dom Object pyGHDL dom Object Variable}@anchor{207}
19114 @deffn {Class} pyGHDL.dom.Object.Variable (node, identifiers, subtype, defaultExpression)
19116 @subsubheading Inheritance
19118 @image{inheritance-24732736c5ec9c18227d52b695bf966045df12dc,,,[graphviz],png}
19120 @subsubheading Members
19123 @geindex parse() (pyGHDL.dom.Object.Variable class method)
19124 @anchor{pyGHDL/pyGHDL dom Object pyGHDL dom Object Variable parse}@anchor{7b5}
19125 @deffn {Method} classmethod  parse (variableNode)
19127 @*Return type: 
19128 @ref{207,,Variable}
19130 @end deffn
19132 @geindex DefaultExpression (pyGHDL.dom.Object.Variable property)
19133 @anchor{pyGHDL/pyGHDL dom Object pyGHDL dom Object Variable DefaultExpression}@anchor{7b6}
19134 @deffn {Property} DefaultExpression:  Union[BaseExpression,  @ref{203,,QualifiedExpression},  @ref{204,,FunctionCall},  @ref{205,,TypeConversion},  @ref{206,,Constant},  ConstantSymbol,  @ref{207,,Variable},  VariableSymbol,  @ref{208,,Signal},  SignalSymbol,  Literal]
19136 @*Return type: 
19137 Union@footnote{https://docs.python.org/3.6/library/typing.html#typing.Union}[BaseExpression@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.BaseExpression}, QualifiedExpression@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.QualifiedExpression}, FunctionCall@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.FunctionCall}, TypeConversion@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.TypeConversion}, Constant@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Constant}, ConstantSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.ConstantSymbol}, Variable@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Variable}, VariableSymbol, Signal@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Signal}, SignalSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.SignalSymbol}, @code{Literal}]
19139 @end deffn
19141 @geindex Identifiers (pyGHDL.dom.Object.Variable property)
19142 @anchor{pyGHDL/pyGHDL dom Object pyGHDL dom Object Variable Identifiers}@anchor{7b7}
19143 @deffn {Property} Identifiers:  List[str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}]
19145 Returns a model entity’s list of identifiers (name).
19147 @*Return type: 
19148 List@footnote{https://docs.python.org/3.6/library/typing.html#typing.List}[str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}]
19150 @end deffn
19152 @geindex Parent (pyGHDL.dom.Object.Variable property)
19153 @anchor{pyGHDL/pyGHDL dom Object pyGHDL dom Object Variable Parent}@anchor{7b8}
19154 @deffn {Property} Parent:  pyVHDLModel.ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
19156 Returns a reference to the parent entity.
19158 @*Return type: 
19159 ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
19161 @end deffn
19163 @geindex Position (pyGHDL.dom.Object.Variable property)
19164 @anchor{pyGHDL/pyGHDL dom Object pyGHDL dom Object Variable Position}@anchor{7b9}
19165 @deffn {Property} Position:  @ref{200,,pyGHDL.dom.Position}
19167 @*Return type: 
19168 @ref{200,,Position}
19170 @end deffn
19172 @geindex Subtype (pyGHDL.dom.Object.Variable property)
19173 @anchor{pyGHDL/pyGHDL dom Object pyGHDL dom Object Variable Subtype}@anchor{7ba}
19174 @deffn {Property} Subtype:  Union[pyVHDLModel.SyntaxModel.Subtype@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Subtype},  pyVHDLModel.SyntaxModel.SubtypeSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.SubtypeSymbol}]
19176 @*Return type: 
19177 Union@footnote{https://docs.python.org/3.6/library/typing.html#typing.Union}[Subtype@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Subtype}, SubtypeSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.SubtypeSymbol}]
19179 @end deffn
19181 @geindex _position (pyGHDL.dom.Object.Variable attribute)
19182 @anchor{pyGHDL/pyGHDL dom Object pyGHDL dom Object Variable _position}@anchor{7bb}
19183 @deffn {Attribute} _position:  @ref{200,,Position}  =  None
19184 @end deffn
19186 @geindex _subtype (pyGHDL.dom.Object.Variable attribute)
19187 @anchor{pyGHDL/pyGHDL dom Object pyGHDL dom Object Variable _subtype}@anchor{7bc}
19188 @deffn {Attribute} _subtype:  Union[@ref{239,,Subtype},  SubtypeSymbol]
19189 @end deffn
19191 @geindex _parent (pyGHDL.dom.Object.Variable attribute)
19192 @anchor{pyGHDL/pyGHDL dom Object pyGHDL dom Object Variable _parent}@anchor{7bd}
19193 @deffn {Attribute} _parent:  ModelEntity
19195 Reference to a parent entity in the model.
19196 @end deffn
19198 @geindex _identifiers (pyGHDL.dom.Object.Variable attribute)
19199 @anchor{pyGHDL/pyGHDL dom Object pyGHDL dom Object Variable _identifiers}@anchor{7be}
19200 @deffn {Attribute} _identifiers:  List[str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}]
19202 A list of identifiers.
19203 @end deffn
19205 @geindex _defaultExpression (pyGHDL.dom.Object.Variable attribute)
19206 @anchor{pyGHDL/pyGHDL dom Object pyGHDL dom Object Variable _defaultExpression}@anchor{7bf}
19207 @deffn {Attribute} _defaultExpression:  ExpressionUnion
19208 @end deffn
19210 @geindex _iirNode (pyGHDL.dom.Object.Variable attribute)
19211 @anchor{pyGHDL/pyGHDL dom Object pyGHDL dom Object Variable _iirNode}@anchor{7c0}
19212 @deffn {Attribute} _iirNode:  Iir
19213 @end deffn
19214 @end deffn
19216 @geindex SharedVariable (class in pyGHDL.dom.Object)
19217 @anchor{pyGHDL/pyGHDL dom Object pyGHDL dom Object SharedVariable}@anchor{79b}
19218 @deffn {Class} pyGHDL.dom.Object.SharedVariable (node, identifiers, subtype)
19220 @subsubheading Inheritance
19222 @image{inheritance-ead9eac0a4895fe05e8aa3923e94e46ea8b93e30,,,[graphviz],png}
19224 @subsubheading Members
19227 @geindex parse() (pyGHDL.dom.Object.SharedVariable class method)
19228 @anchor{pyGHDL/pyGHDL dom Object pyGHDL dom Object SharedVariable parse}@anchor{7c1}
19229 @deffn {Method} classmethod  parse (variableNode)
19231 @*Return type: 
19232 @ref{79b,,SharedVariable}
19234 @end deffn
19236 @geindex Identifiers (pyGHDL.dom.Object.SharedVariable property)
19237 @anchor{pyGHDL/pyGHDL dom Object pyGHDL dom Object SharedVariable Identifiers}@anchor{7c2}
19238 @deffn {Property} Identifiers:  List[str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}]
19240 Returns a model entity’s list of identifiers (name).
19242 @*Return type: 
19243 List@footnote{https://docs.python.org/3.6/library/typing.html#typing.List}[str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}]
19245 @end deffn
19247 @geindex Parent (pyGHDL.dom.Object.SharedVariable property)
19248 @anchor{pyGHDL/pyGHDL dom Object pyGHDL dom Object SharedVariable Parent}@anchor{7c3}
19249 @deffn {Property} Parent:  pyVHDLModel.ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
19251 Returns a reference to the parent entity.
19253 @*Return type: 
19254 ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
19256 @end deffn
19258 @geindex Position (pyGHDL.dom.Object.SharedVariable property)
19259 @anchor{pyGHDL/pyGHDL dom Object pyGHDL dom Object SharedVariable Position}@anchor{7c4}
19260 @deffn {Property} Position:  @ref{200,,pyGHDL.dom.Position}
19262 @*Return type: 
19263 @ref{200,,Position}
19265 @end deffn
19267 @geindex Subtype (pyGHDL.dom.Object.SharedVariable property)
19268 @anchor{pyGHDL/pyGHDL dom Object pyGHDL dom Object SharedVariable Subtype}@anchor{7c5}
19269 @deffn {Property} Subtype:  Union[pyVHDLModel.SyntaxModel.Subtype@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Subtype},  pyVHDLModel.SyntaxModel.SubtypeSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.SubtypeSymbol}]
19271 @*Return type: 
19272 Union@footnote{https://docs.python.org/3.6/library/typing.html#typing.Union}[Subtype@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Subtype}, SubtypeSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.SubtypeSymbol}]
19274 @end deffn
19276 @geindex _position (pyGHDL.dom.Object.SharedVariable attribute)
19277 @anchor{pyGHDL/pyGHDL dom Object pyGHDL dom Object SharedVariable _position}@anchor{7c6}
19278 @deffn {Attribute} _position:  @ref{200,,Position}  =  None
19279 @end deffn
19281 @geindex _subtype (pyGHDL.dom.Object.SharedVariable attribute)
19282 @anchor{pyGHDL/pyGHDL dom Object pyGHDL dom Object SharedVariable _subtype}@anchor{7c7}
19283 @deffn {Attribute} _subtype:  Union[@ref{239,,Subtype},  SubtypeSymbol]
19284 @end deffn
19286 @geindex _parent (pyGHDL.dom.Object.SharedVariable attribute)
19287 @anchor{pyGHDL/pyGHDL dom Object pyGHDL dom Object SharedVariable _parent}@anchor{7c8}
19288 @deffn {Attribute} _parent:  ModelEntity
19290 Reference to a parent entity in the model.
19291 @end deffn
19293 @geindex _identifiers (pyGHDL.dom.Object.SharedVariable attribute)
19294 @anchor{pyGHDL/pyGHDL dom Object pyGHDL dom Object SharedVariable _identifiers}@anchor{7c9}
19295 @deffn {Attribute} _identifiers:  List[str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}]
19297 A list of identifiers.
19298 @end deffn
19300 @geindex _iirNode (pyGHDL.dom.Object.SharedVariable attribute)
19301 @anchor{pyGHDL/pyGHDL dom Object pyGHDL dom Object SharedVariable _iirNode}@anchor{7ca}
19302 @deffn {Attribute} _iirNode:  Iir
19303 @end deffn
19304 @end deffn
19306 @geindex Signal (class in pyGHDL.dom.Object)
19307 @anchor{pyGHDL/pyGHDL dom Object pyGHDL dom Object Signal}@anchor{208}
19308 @deffn {Class} pyGHDL.dom.Object.Signal (node, identifiers, subtype, defaultExpression)
19310 @subsubheading Inheritance
19312 @image{inheritance-8b7f4518220db4b299e273e50af3153260fffefe,,,[graphviz],png}
19314 @subsubheading Members
19317 @geindex parse() (pyGHDL.dom.Object.Signal class method)
19318 @anchor{pyGHDL/pyGHDL dom Object pyGHDL dom Object Signal parse}@anchor{7cb}
19319 @deffn {Method} classmethod  parse (signalNode)
19321 @*Return type: 
19322 @ref{208,,Signal}
19324 @end deffn
19326 @geindex DefaultExpression (pyGHDL.dom.Object.Signal property)
19327 @anchor{pyGHDL/pyGHDL dom Object pyGHDL dom Object Signal DefaultExpression}@anchor{7cc}
19328 @deffn {Property} DefaultExpression:  Union[BaseExpression,  @ref{203,,QualifiedExpression},  @ref{204,,FunctionCall},  @ref{205,,TypeConversion},  @ref{206,,Constant},  ConstantSymbol,  @ref{207,,Variable},  VariableSymbol,  @ref{208,,Signal},  SignalSymbol,  Literal]
19330 @*Return type: 
19331 Union@footnote{https://docs.python.org/3.6/library/typing.html#typing.Union}[BaseExpression@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.BaseExpression}, QualifiedExpression@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.QualifiedExpression}, FunctionCall@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.FunctionCall}, TypeConversion@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.TypeConversion}, Constant@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Constant}, ConstantSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.ConstantSymbol}, Variable@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Variable}, VariableSymbol, Signal@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Signal}, SignalSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.SignalSymbol}, @code{Literal}]
19333 @end deffn
19335 @geindex Identifiers (pyGHDL.dom.Object.Signal property)
19336 @anchor{pyGHDL/pyGHDL dom Object pyGHDL dom Object Signal Identifiers}@anchor{7cd}
19337 @deffn {Property} Identifiers:  List[str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}]
19339 Returns a model entity’s list of identifiers (name).
19341 @*Return type: 
19342 List@footnote{https://docs.python.org/3.6/library/typing.html#typing.List}[str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}]
19344 @end deffn
19346 @geindex Parent (pyGHDL.dom.Object.Signal property)
19347 @anchor{pyGHDL/pyGHDL dom Object pyGHDL dom Object Signal Parent}@anchor{7ce}
19348 @deffn {Property} Parent:  pyVHDLModel.ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
19350 Returns a reference to the parent entity.
19352 @*Return type: 
19353 ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
19355 @end deffn
19357 @geindex Position (pyGHDL.dom.Object.Signal property)
19358 @anchor{pyGHDL/pyGHDL dom Object pyGHDL dom Object Signal Position}@anchor{7cf}
19359 @deffn {Property} Position:  @ref{200,,pyGHDL.dom.Position}
19361 @*Return type: 
19362 @ref{200,,Position}
19364 @end deffn
19366 @geindex Subtype (pyGHDL.dom.Object.Signal property)
19367 @anchor{pyGHDL/pyGHDL dom Object pyGHDL dom Object Signal Subtype}@anchor{7d0}
19368 @deffn {Property} Subtype:  Union[pyVHDLModel.SyntaxModel.Subtype@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Subtype},  pyVHDLModel.SyntaxModel.SubtypeSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.SubtypeSymbol}]
19370 @*Return type: 
19371 Union@footnote{https://docs.python.org/3.6/library/typing.html#typing.Union}[Subtype@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Subtype}, SubtypeSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.SubtypeSymbol}]
19373 @end deffn
19375 @geindex _position (pyGHDL.dom.Object.Signal attribute)
19376 @anchor{pyGHDL/pyGHDL dom Object pyGHDL dom Object Signal _position}@anchor{7d1}
19377 @deffn {Attribute} _position:  @ref{200,,Position}  =  None
19378 @end deffn
19380 @geindex _subtype (pyGHDL.dom.Object.Signal attribute)
19381 @anchor{pyGHDL/pyGHDL dom Object pyGHDL dom Object Signal _subtype}@anchor{7d2}
19382 @deffn {Attribute} _subtype:  Union[@ref{239,,Subtype},  SubtypeSymbol]
19383 @end deffn
19385 @geindex _parent (pyGHDL.dom.Object.Signal attribute)
19386 @anchor{pyGHDL/pyGHDL dom Object pyGHDL dom Object Signal _parent}@anchor{7d3}
19387 @deffn {Attribute} _parent:  ModelEntity
19389 Reference to a parent entity in the model.
19390 @end deffn
19392 @geindex _identifiers (pyGHDL.dom.Object.Signal attribute)
19393 @anchor{pyGHDL/pyGHDL dom Object pyGHDL dom Object Signal _identifiers}@anchor{7d4}
19394 @deffn {Attribute} _identifiers:  List[str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}]
19396 A list of identifiers.
19397 @end deffn
19399 @geindex _defaultExpression (pyGHDL.dom.Object.Signal attribute)
19400 @anchor{pyGHDL/pyGHDL dom Object pyGHDL dom Object Signal _defaultExpression}@anchor{7d5}
19401 @deffn {Attribute} _defaultExpression:  ExpressionUnion
19402 @end deffn
19404 @geindex _iirNode (pyGHDL.dom.Object.Signal attribute)
19405 @anchor{pyGHDL/pyGHDL dom Object pyGHDL dom Object Signal _iirNode}@anchor{7d6}
19406 @deffn {Attribute} _iirNode:  Iir
19407 @end deffn
19408 @end deffn
19410 @geindex File (class in pyGHDL.dom.Object)
19411 @anchor{pyGHDL/pyGHDL dom Object pyGHDL dom Object File}@anchor{79c}
19412 @deffn {Class} pyGHDL.dom.Object.File (node, identifiers, subtype)
19414 @subsubheading Inheritance
19416 @image{inheritance-b4482708c00370f6aabafff33ac35719b054a165,,,[graphviz],png}
19418 @subsubheading Members
19421 @geindex parse() (pyGHDL.dom.Object.File class method)
19422 @anchor{pyGHDL/pyGHDL dom Object pyGHDL dom Object File parse}@anchor{7d7}
19423 @deffn {Method} classmethod  parse (fileNode)
19425 @*Return type: 
19426 @ref{79c,,File}
19428 @end deffn
19430 @geindex Identifiers (pyGHDL.dom.Object.File property)
19431 @anchor{pyGHDL/pyGHDL dom Object pyGHDL dom Object File Identifiers}@anchor{7d8}
19432 @deffn {Property} Identifiers:  List[str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}]
19434 Returns a model entity’s list of identifiers (name).
19436 @*Return type: 
19437 List@footnote{https://docs.python.org/3.6/library/typing.html#typing.List}[str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}]
19439 @end deffn
19441 @geindex Parent (pyGHDL.dom.Object.File property)
19442 @anchor{pyGHDL/pyGHDL dom Object pyGHDL dom Object File Parent}@anchor{7d9}
19443 @deffn {Property} Parent:  pyVHDLModel.ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
19445 Returns a reference to the parent entity.
19447 @*Return type: 
19448 ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
19450 @end deffn
19452 @geindex Position (pyGHDL.dom.Object.File property)
19453 @anchor{pyGHDL/pyGHDL dom Object pyGHDL dom Object File Position}@anchor{7da}
19454 @deffn {Property} Position:  @ref{200,,pyGHDL.dom.Position}
19456 @*Return type: 
19457 @ref{200,,Position}
19459 @end deffn
19461 @geindex Subtype (pyGHDL.dom.Object.File property)
19462 @anchor{pyGHDL/pyGHDL dom Object pyGHDL dom Object File Subtype}@anchor{7db}
19463 @deffn {Property} Subtype:  Union[pyVHDLModel.SyntaxModel.Subtype@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Subtype},  pyVHDLModel.SyntaxModel.SubtypeSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.SubtypeSymbol}]
19465 @*Return type: 
19466 Union@footnote{https://docs.python.org/3.6/library/typing.html#typing.Union}[Subtype@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Subtype}, SubtypeSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.SubtypeSymbol}]
19468 @end deffn
19470 @geindex _position (pyGHDL.dom.Object.File attribute)
19471 @anchor{pyGHDL/pyGHDL dom Object pyGHDL dom Object File _position}@anchor{7dc}
19472 @deffn {Attribute} _position:  @ref{200,,Position}  =  None
19473 @end deffn
19475 @geindex _subtype (pyGHDL.dom.Object.File attribute)
19476 @anchor{pyGHDL/pyGHDL dom Object pyGHDL dom Object File _subtype}@anchor{7dd}
19477 @deffn {Attribute} _subtype:  Union[@ref{239,,Subtype},  SubtypeSymbol]
19478 @end deffn
19480 @geindex _parent (pyGHDL.dom.Object.File attribute)
19481 @anchor{pyGHDL/pyGHDL dom Object pyGHDL dom Object File _parent}@anchor{7de}
19482 @deffn {Attribute} _parent:  ModelEntity
19484 Reference to a parent entity in the model.
19485 @end deffn
19487 @geindex _identifiers (pyGHDL.dom.Object.File attribute)
19488 @anchor{pyGHDL/pyGHDL dom Object pyGHDL dom Object File _identifiers}@anchor{7df}
19489 @deffn {Attribute} _identifiers:  List[str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}]
19491 A list of identifiers.
19492 @end deffn
19494 @geindex _iirNode (pyGHDL.dom.Object.File attribute)
19495 @anchor{pyGHDL/pyGHDL dom Object pyGHDL dom Object File _iirNode}@anchor{7e0}
19496 @deffn {Attribute} _iirNode:  Iir
19497 @end deffn
19498 @end deffn
19500 @c # Load pre-defined aliases and graphical characters like © from docutils
19501 @c # <file> is used to denote the special path
19502 @c # <Python>\Lib\site-packages\docutils\parsers\rst\include
19504 @c This data file has been placed in the public domain.
19506 @c Derived from the Unicode character mappings available from
19507 @c <http://www.w3.org/2003/entities/xml/>.
19508 @c Processed by unicode2rstsubs.py, part of Docutils:
19509 @c <http://docutils.sourceforge.net>.
19511 @c This data file has been placed in the public domain.
19513 @c Derived from the Unicode character mappings available from
19514 @c <http://www.w3.org/2003/entities/xml/>.
19515 @c Processed by unicode2rstsubs.py, part of Docutils:
19516 @c <http://docutils.sourceforge.net>.
19518 @c # define a hard line break for HTML
19520 @node pyGHDL dom PSL,pyGHDL dom Range,pyGHDL dom Object,pyGHDL dom
19521 @anchor{pyGHDL/pyGHDL dom PSL doc}@anchor{7e1}@anchor{pyGHDL/pyGHDL dom PSL module-pyGHDL dom PSL}@anchor{14}@anchor{pyGHDL/pyGHDL dom PSL pyghdl-dom-psl}@anchor{7e2}
19522 @subsection pyGHDL.dom.PSL
19525 @geindex module; pyGHDL.dom.PSL
19527 This module contains all DOM classes for VHDL’s design units (@code{context},
19528 @code{architecture}, @code{package},
19529 @code{package body}, @code{context} and
19530 @code{configuration}.
19532 @c #-----------------------------------
19534 @strong{Classes}
19537 @itemize -
19539 @item 
19540 @ref{7e3,,VerificationUnit}:
19541 A @code{PrimaryUnit} is a base-class for all primary units.
19543 @item 
19544 @ref{7e4,,VerificationProperty}:
19545 A @code{PrimaryUnit} is a base-class for all primary units.
19547 @item 
19548 @ref{7e5,,VerificationMode}:
19549 A @code{PrimaryUnit} is a base-class for all primary units.
19551 @item 
19552 @ref{7e6,,DefaultClock}:
19553 @code{ModelEntity} is the base class for all classes in the VHDL language model,
19554 @end itemize
19556 @c #-----------------------------------
19558 @geindex VerificationUnit (class in pyGHDL.dom.PSL)
19559 @anchor{pyGHDL/pyGHDL dom PSL pyGHDL dom PSL VerificationUnit}@anchor{7e3}
19560 @deffn {Class} pyGHDL.dom.PSL.VerificationUnit (node, identifier)
19562 @subsubheading Inheritance
19564 @image{inheritance-3ba69ad39d056113df31306b57d60b7bf2b7fd67,,,[graphviz],png}
19566 @subsubheading Members
19569 @geindex parse() (pyGHDL.dom.PSL.VerificationUnit class method)
19570 @anchor{pyGHDL/pyGHDL dom PSL pyGHDL dom PSL VerificationUnit parse}@anchor{7e7}
19571 @deffn {Method} classmethod  parse (vunitNode)
19572 @end deffn
19574 @geindex Identifier (pyGHDL.dom.PSL.VerificationUnit property)
19575 @anchor{pyGHDL/pyGHDL dom PSL pyGHDL dom PSL VerificationUnit Identifier}@anchor{7e8}
19576 @deffn {Property} Identifier:  str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
19578 Returns a model entity’s identifier (name).
19580 @*Return type: 
19581 str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
19583 @end deffn
19585 @geindex Library (pyGHDL.dom.PSL.VerificationUnit property)
19586 @anchor{pyGHDL/pyGHDL dom PSL pyGHDL dom PSL VerificationUnit Library}@anchor{7e9}
19587 @deffn {Property} Library:  @ref{391,,Library}
19589 @*Return type: 
19590 @ref{391,,Library}
19592 @end deffn
19594 @geindex Parent (pyGHDL.dom.PSL.VerificationUnit property)
19595 @anchor{pyGHDL/pyGHDL dom PSL pyGHDL dom PSL VerificationUnit Parent}@anchor{7ea}
19596 @deffn {Property} Parent:  pyVHDLModel.ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
19598 Returns a reference to the parent entity.
19600 @*Return type: 
19601 ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
19603 @end deffn
19605 @geindex Position (pyGHDL.dom.PSL.VerificationUnit property)
19606 @anchor{pyGHDL/pyGHDL dom PSL pyGHDL dom PSL VerificationUnit Position}@anchor{7eb}
19607 @deffn {Property} Position:  @ref{200,,pyGHDL.dom.Position}
19609 @*Return type: 
19610 @ref{200,,Position}
19612 @end deffn
19614 @geindex _position (pyGHDL.dom.PSL.VerificationUnit attribute)
19615 @anchor{pyGHDL/pyGHDL dom PSL pyGHDL dom PSL VerificationUnit _position}@anchor{7ec}
19616 @deffn {Attribute} _position:  @ref{200,,Position}  =  None
19617 @end deffn
19619 @geindex _parent (pyGHDL.dom.PSL.VerificationUnit attribute)
19620 @anchor{pyGHDL/pyGHDL dom PSL pyGHDL dom PSL VerificationUnit _parent}@anchor{7ed}
19621 @deffn {Attribute} _parent:  ModelEntity
19623 Reference to a parent entity in the model.
19624 @end deffn
19626 @geindex _identifier (pyGHDL.dom.PSL.VerificationUnit attribute)
19627 @anchor{pyGHDL/pyGHDL dom PSL pyGHDL dom PSL VerificationUnit _identifier}@anchor{7ee}
19628 @deffn {Attribute} _identifier:  str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
19630 The identifier of a model entity.
19631 @end deffn
19633 @geindex _iirNode (pyGHDL.dom.PSL.VerificationUnit attribute)
19634 @anchor{pyGHDL/pyGHDL dom PSL pyGHDL dom PSL VerificationUnit _iirNode}@anchor{7ef}
19635 @deffn {Attribute} _iirNode:  Iir
19636 @end deffn
19637 @end deffn
19639 @geindex VerificationProperty (class in pyGHDL.dom.PSL)
19640 @anchor{pyGHDL/pyGHDL dom PSL pyGHDL dom PSL VerificationProperty}@anchor{7e4}
19641 @deffn {Class} pyGHDL.dom.PSL.VerificationProperty (node, identifier)
19643 @subsubheading Inheritance
19645 @image{inheritance-9250f1476f82e06a2011292e1677f62fc7432333,,,[graphviz],png}
19647 @subsubheading Members
19650 @geindex parse() (pyGHDL.dom.PSL.VerificationProperty class method)
19651 @anchor{pyGHDL/pyGHDL dom PSL pyGHDL dom PSL VerificationProperty parse}@anchor{7f0}
19652 @deffn {Method} classmethod  parse (vpropNode)
19653 @end deffn
19655 @geindex Identifier (pyGHDL.dom.PSL.VerificationProperty property)
19656 @anchor{pyGHDL/pyGHDL dom PSL pyGHDL dom PSL VerificationProperty Identifier}@anchor{7f1}
19657 @deffn {Property} Identifier:  str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
19659 Returns a model entity’s identifier (name).
19661 @*Return type: 
19662 str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
19664 @end deffn
19666 @geindex Library (pyGHDL.dom.PSL.VerificationProperty property)
19667 @anchor{pyGHDL/pyGHDL dom PSL pyGHDL dom PSL VerificationProperty Library}@anchor{7f2}
19668 @deffn {Property} Library:  @ref{391,,Library}
19670 @*Return type: 
19671 @ref{391,,Library}
19673 @end deffn
19675 @geindex Parent (pyGHDL.dom.PSL.VerificationProperty property)
19676 @anchor{pyGHDL/pyGHDL dom PSL pyGHDL dom PSL VerificationProperty Parent}@anchor{7f3}
19677 @deffn {Property} Parent:  pyVHDLModel.ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
19679 Returns a reference to the parent entity.
19681 @*Return type: 
19682 ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
19684 @end deffn
19686 @geindex Position (pyGHDL.dom.PSL.VerificationProperty property)
19687 @anchor{pyGHDL/pyGHDL dom PSL pyGHDL dom PSL VerificationProperty Position}@anchor{7f4}
19688 @deffn {Property} Position:  @ref{200,,pyGHDL.dom.Position}
19690 @*Return type: 
19691 @ref{200,,Position}
19693 @end deffn
19695 @geindex _position (pyGHDL.dom.PSL.VerificationProperty attribute)
19696 @anchor{pyGHDL/pyGHDL dom PSL pyGHDL dom PSL VerificationProperty _position}@anchor{7f5}
19697 @deffn {Attribute} _position:  @ref{200,,Position}  =  None
19698 @end deffn
19700 @geindex _parent (pyGHDL.dom.PSL.VerificationProperty attribute)
19701 @anchor{pyGHDL/pyGHDL dom PSL pyGHDL dom PSL VerificationProperty _parent}@anchor{7f6}
19702 @deffn {Attribute} _parent:  ModelEntity
19704 Reference to a parent entity in the model.
19705 @end deffn
19707 @geindex _identifier (pyGHDL.dom.PSL.VerificationProperty attribute)
19708 @anchor{pyGHDL/pyGHDL dom PSL pyGHDL dom PSL VerificationProperty _identifier}@anchor{7f7}
19709 @deffn {Attribute} _identifier:  str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
19711 The identifier of a model entity.
19712 @end deffn
19714 @geindex _iirNode (pyGHDL.dom.PSL.VerificationProperty attribute)
19715 @anchor{pyGHDL/pyGHDL dom PSL pyGHDL dom PSL VerificationProperty _iirNode}@anchor{7f8}
19716 @deffn {Attribute} _iirNode:  Iir
19717 @end deffn
19718 @end deffn
19720 @geindex VerificationMode (class in pyGHDL.dom.PSL)
19721 @anchor{pyGHDL/pyGHDL dom PSL pyGHDL dom PSL VerificationMode}@anchor{7e5}
19722 @deffn {Class} pyGHDL.dom.PSL.VerificationMode (node, identifier)
19724 @subsubheading Inheritance
19726 @image{inheritance-4fd3da11368c1432bc49f64530bd5713a3d5323a,,,[graphviz],png}
19728 @subsubheading Members
19731 @geindex parse() (pyGHDL.dom.PSL.VerificationMode class method)
19732 @anchor{pyGHDL/pyGHDL dom PSL pyGHDL dom PSL VerificationMode parse}@anchor{7f9}
19733 @deffn {Method} classmethod  parse (vmodeNode)
19734 @end deffn
19736 @geindex Identifier (pyGHDL.dom.PSL.VerificationMode property)
19737 @anchor{pyGHDL/pyGHDL dom PSL pyGHDL dom PSL VerificationMode Identifier}@anchor{7fa}
19738 @deffn {Property} Identifier:  str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
19740 Returns a model entity’s identifier (name).
19742 @*Return type: 
19743 str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
19745 @end deffn
19747 @geindex Library (pyGHDL.dom.PSL.VerificationMode property)
19748 @anchor{pyGHDL/pyGHDL dom PSL pyGHDL dom PSL VerificationMode Library}@anchor{7fb}
19749 @deffn {Property} Library:  @ref{391,,Library}
19751 @*Return type: 
19752 @ref{391,,Library}
19754 @end deffn
19756 @geindex Parent (pyGHDL.dom.PSL.VerificationMode property)
19757 @anchor{pyGHDL/pyGHDL dom PSL pyGHDL dom PSL VerificationMode Parent}@anchor{7fc}
19758 @deffn {Property} Parent:  pyVHDLModel.ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
19760 Returns a reference to the parent entity.
19762 @*Return type: 
19763 ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
19765 @end deffn
19767 @geindex Position (pyGHDL.dom.PSL.VerificationMode property)
19768 @anchor{pyGHDL/pyGHDL dom PSL pyGHDL dom PSL VerificationMode Position}@anchor{7fd}
19769 @deffn {Property} Position:  @ref{200,,pyGHDL.dom.Position}
19771 @*Return type: 
19772 @ref{200,,Position}
19774 @end deffn
19776 @geindex _position (pyGHDL.dom.PSL.VerificationMode attribute)
19777 @anchor{pyGHDL/pyGHDL dom PSL pyGHDL dom PSL VerificationMode _position}@anchor{7fe}
19778 @deffn {Attribute} _position:  @ref{200,,Position}  =  None
19779 @end deffn
19781 @geindex _parent (pyGHDL.dom.PSL.VerificationMode attribute)
19782 @anchor{pyGHDL/pyGHDL dom PSL pyGHDL dom PSL VerificationMode _parent}@anchor{7ff}
19783 @deffn {Attribute} _parent:  ModelEntity
19785 Reference to a parent entity in the model.
19786 @end deffn
19788 @geindex _identifier (pyGHDL.dom.PSL.VerificationMode attribute)
19789 @anchor{pyGHDL/pyGHDL dom PSL pyGHDL dom PSL VerificationMode _identifier}@anchor{800}
19790 @deffn {Attribute} _identifier:  str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
19792 The identifier of a model entity.
19793 @end deffn
19795 @geindex _iirNode (pyGHDL.dom.PSL.VerificationMode attribute)
19796 @anchor{pyGHDL/pyGHDL dom PSL pyGHDL dom PSL VerificationMode _iirNode}@anchor{801}
19797 @deffn {Attribute} _iirNode:  Iir
19798 @end deffn
19799 @end deffn
19801 @geindex DefaultClock (class in pyGHDL.dom.PSL)
19802 @anchor{pyGHDL/pyGHDL dom PSL pyGHDL dom PSL DefaultClock}@anchor{7e6}
19803 @deffn {Class} pyGHDL.dom.PSL.DefaultClock (node, identifier)
19805 @subsubheading Inheritance
19807 @image{inheritance-42def4283b0e718cdc38b50a312282189df5d881,,,[graphviz],png}
19809 @subsubheading Members
19812 @geindex parse() (pyGHDL.dom.PSL.DefaultClock class method)
19813 @anchor{pyGHDL/pyGHDL dom PSL pyGHDL dom PSL DefaultClock parse}@anchor{802}
19814 @deffn {Method} classmethod  parse (defaultClockNode)
19815 @end deffn
19817 @geindex Identifier (pyGHDL.dom.PSL.DefaultClock property)
19818 @anchor{pyGHDL/pyGHDL dom PSL pyGHDL dom PSL DefaultClock Identifier}@anchor{803}
19819 @deffn {Property} Identifier:  str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
19821 @*Return type: 
19822 str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
19824 @end deffn
19826 @geindex Parent (pyGHDL.dom.PSL.DefaultClock property)
19827 @anchor{pyGHDL/pyGHDL dom PSL pyGHDL dom PSL DefaultClock Parent}@anchor{804}
19828 @deffn {Property} Parent:  pyVHDLModel.ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
19830 Returns a reference to the parent entity.
19832 @*Return type: 
19833 ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
19835 @end deffn
19837 @geindex Position (pyGHDL.dom.PSL.DefaultClock property)
19838 @anchor{pyGHDL/pyGHDL dom PSL pyGHDL dom PSL DefaultClock Position}@anchor{805}
19839 @deffn {Property} Position:  @ref{200,,pyGHDL.dom.Position}
19841 @*Return type: 
19842 @ref{200,,Position}
19844 @end deffn
19846 @geindex _position (pyGHDL.dom.PSL.DefaultClock attribute)
19847 @anchor{pyGHDL/pyGHDL dom PSL pyGHDL dom PSL DefaultClock _position}@anchor{806}
19848 @deffn {Attribute} _position:  @ref{200,,Position}  =  None
19849 @end deffn
19851 @geindex _identifier (pyGHDL.dom.PSL.DefaultClock attribute)
19852 @anchor{pyGHDL/pyGHDL dom PSL pyGHDL dom PSL DefaultClock _identifier}@anchor{807}
19853 @deffn {Attribute} _identifier:  str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
19854 @end deffn
19856 @geindex _parent (pyGHDL.dom.PSL.DefaultClock attribute)
19857 @anchor{pyGHDL/pyGHDL dom PSL pyGHDL dom PSL DefaultClock _parent}@anchor{808}
19858 @deffn {Attribute} _parent:  ModelEntity
19860 Reference to a parent entity in the model.
19861 @end deffn
19863 @geindex _iirNode (pyGHDL.dom.PSL.DefaultClock attribute)
19864 @anchor{pyGHDL/pyGHDL dom PSL pyGHDL dom PSL DefaultClock _iirNode}@anchor{809}
19865 @deffn {Attribute} _iirNode:  Iir
19866 @end deffn
19867 @end deffn
19869 @c # Load pre-defined aliases and graphical characters like © from docutils
19870 @c # <file> is used to denote the special path
19871 @c # <Python>\Lib\site-packages\docutils\parsers\rst\include
19873 @c This data file has been placed in the public domain.
19875 @c Derived from the Unicode character mappings available from
19876 @c <http://www.w3.org/2003/entities/xml/>.
19877 @c Processed by unicode2rstsubs.py, part of Docutils:
19878 @c <http://docutils.sourceforge.net>.
19880 @c This data file has been placed in the public domain.
19882 @c Derived from the Unicode character mappings available from
19883 @c <http://www.w3.org/2003/entities/xml/>.
19884 @c Processed by unicode2rstsubs.py, part of Docutils:
19885 @c <http://docutils.sourceforge.net>.
19887 @c # define a hard line break for HTML
19889 @node pyGHDL dom Range,pyGHDL dom Sequential,pyGHDL dom PSL,pyGHDL dom
19890 @anchor{pyGHDL/pyGHDL dom Range doc}@anchor{80a}@anchor{pyGHDL/pyGHDL dom Range module-pyGHDL dom Range}@anchor{15}@anchor{pyGHDL/pyGHDL dom Range pyghdl-dom-range}@anchor{80b}
19891 @subsection pyGHDL.dom.Range
19894 @geindex module; pyGHDL.dom.Range
19896 @c #-----------------------------------
19898 @strong{Classes}
19901 @itemize -
19903 @item 
19904 @ref{21a,,Range}:
19905 @code{ModelEntity} is the base class for all classes in the VHDL language model,
19906 @end itemize
19908 @c #-----------------------------------
19910 @geindex Range (class in pyGHDL.dom.Range)
19911 @anchor{pyGHDL/pyGHDL dom Range pyGHDL dom Range Range}@anchor{21a}
19912 @deffn {Class} pyGHDL.dom.Range.Range (leftBound, rightBound, direction)
19914 @subsubheading Inheritance
19916 @image{inheritance-084916be6fd6336577cc9ad738a273976fcaaa6e,,,[graphviz],png}
19918 @subsubheading Members
19921 @geindex Direction (pyGHDL.dom.Range.Range property)
19922 @anchor{pyGHDL/pyGHDL dom Range pyGHDL dom Range Range Direction}@anchor{80c}
19923 @deffn {Property} Direction:  pyVHDLModel.Direction@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.Direction}
19925 @*Return type: 
19926 Direction@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.Direction}
19928 @end deffn
19930 @geindex LeftBound (pyGHDL.dom.Range.Range property)
19931 @anchor{pyGHDL/pyGHDL dom Range pyGHDL dom Range Range LeftBound}@anchor{80d}
19932 @deffn {Property} LeftBound:  Union[BaseExpression,  @ref{203,,QualifiedExpression},  @ref{204,,FunctionCall},  @ref{205,,TypeConversion},  @ref{206,,Constant},  ConstantSymbol,  @ref{207,,Variable},  VariableSymbol,  @ref{208,,Signal},  SignalSymbol,  Literal]
19934 @*Return type: 
19935 Union@footnote{https://docs.python.org/3.6/library/typing.html#typing.Union}[BaseExpression@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.BaseExpression}, QualifiedExpression@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.QualifiedExpression}, FunctionCall@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.FunctionCall}, TypeConversion@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.TypeConversion}, Constant@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Constant}, ConstantSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.ConstantSymbol}, Variable@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Variable}, VariableSymbol, Signal@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Signal}, SignalSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.SignalSymbol}, @code{Literal}]
19937 @end deffn
19939 @geindex Parent (pyGHDL.dom.Range.Range property)
19940 @anchor{pyGHDL/pyGHDL dom Range pyGHDL dom Range Range Parent}@anchor{80e}
19941 @deffn {Property} Parent:  pyVHDLModel.ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
19943 Returns a reference to the parent entity.
19945 @*Return type: 
19946 ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
19948 @end deffn
19950 @geindex RightBound (pyGHDL.dom.Range.Range property)
19951 @anchor{pyGHDL/pyGHDL dom Range pyGHDL dom Range Range RightBound}@anchor{80f}
19952 @deffn {Property} RightBound:  Union[BaseExpression,  @ref{203,,QualifiedExpression},  @ref{204,,FunctionCall},  @ref{205,,TypeConversion},  @ref{206,,Constant},  ConstantSymbol,  @ref{207,,Variable},  VariableSymbol,  @ref{208,,Signal},  SignalSymbol,  Literal]
19954 @*Return type: 
19955 Union@footnote{https://docs.python.org/3.6/library/typing.html#typing.Union}[BaseExpression@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.BaseExpression}, QualifiedExpression@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.QualifiedExpression}, FunctionCall@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.FunctionCall}, TypeConversion@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.TypeConversion}, Constant@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Constant}, ConstantSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.ConstantSymbol}, Variable@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Variable}, VariableSymbol, Signal@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Signal}, SignalSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.SignalSymbol}, @code{Literal}]
19957 @end deffn
19959 @geindex _leftBound (pyGHDL.dom.Range.Range attribute)
19960 @anchor{pyGHDL/pyGHDL dom Range pyGHDL dom Range Range _leftBound}@anchor{810}
19961 @deffn {Attribute} _leftBound:  Union[BaseExpression,  @ref{203,,QualifiedExpression},  @ref{204,,FunctionCall},  @ref{205,,TypeConversion},  @ref{206,,Constant},  ConstantSymbol,  @ref{207,,Variable},  VariableSymbol,  @ref{208,,Signal},  SignalSymbol,  Literal]
19962 @end deffn
19964 @geindex _rightBound (pyGHDL.dom.Range.Range attribute)
19965 @anchor{pyGHDL/pyGHDL dom Range pyGHDL dom Range Range _rightBound}@anchor{811}
19966 @deffn {Attribute} _rightBound:  Union[BaseExpression,  @ref{203,,QualifiedExpression},  @ref{204,,FunctionCall},  @ref{205,,TypeConversion},  @ref{206,,Constant},  ConstantSymbol,  @ref{207,,Variable},  VariableSymbol,  @ref{208,,Signal},  SignalSymbol,  Literal]
19967 @end deffn
19969 @geindex _direction (pyGHDL.dom.Range.Range attribute)
19970 @anchor{pyGHDL/pyGHDL dom Range pyGHDL dom Range Range _direction}@anchor{812}
19971 @deffn {Attribute} _direction:  pyVHDLModel.Direction@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.Direction}
19972 @end deffn
19974 @geindex _parent (pyGHDL.dom.Range.Range attribute)
19975 @anchor{pyGHDL/pyGHDL dom Range pyGHDL dom Range Range _parent}@anchor{813}
19976 @deffn {Attribute} _parent:  ModelEntity
19978 Reference to a parent entity in the model.
19979 @end deffn
19980 @end deffn
19982 @c # Load pre-defined aliases and graphical characters like © from docutils
19983 @c # <file> is used to denote the special path
19984 @c # <Python>\Lib\site-packages\docutils\parsers\rst\include
19986 @c This data file has been placed in the public domain.
19988 @c Derived from the Unicode character mappings available from
19989 @c <http://www.w3.org/2003/entities/xml/>.
19990 @c Processed by unicode2rstsubs.py, part of Docutils:
19991 @c <http://docutils.sourceforge.net>.
19993 @c This data file has been placed in the public domain.
19995 @c Derived from the Unicode character mappings available from
19996 @c <http://www.w3.org/2003/entities/xml/>.
19997 @c Processed by unicode2rstsubs.py, part of Docutils:
19998 @c <http://docutils.sourceforge.net>.
20000 @c # define a hard line break for HTML
20002 @node pyGHDL dom Sequential,pyGHDL dom Subprogram,pyGHDL dom Range,pyGHDL dom
20003 @anchor{pyGHDL/pyGHDL dom Sequential doc}@anchor{814}@anchor{pyGHDL/pyGHDL dom Sequential module-pyGHDL dom Sequential}@anchor{16}@anchor{pyGHDL/pyGHDL dom Sequential pyghdl-dom-sequential}@anchor{815}
20004 @subsection pyGHDL.dom.Sequential
20007 @geindex module; pyGHDL.dom.Sequential
20009 @c #-----------------------------------
20011 @strong{Classes}
20014 @itemize -
20016 @item 
20017 @ref{816,,IfBranch}:
20018 A @code{Branch} is a base-class for all branches in a if statement.
20020 @item 
20021 @ref{817,,ElsifBranch}:
20022 A @code{Branch} is a base-class for all branches in a if statement.
20024 @item 
20025 @ref{818,,ElseBranch}:
20026 A @code{Branch} is a base-class for all branches in a if statement.
20028 @item 
20029 @ref{819,,IfStatement}:
20030 A @code{CompoundStatement} is a base-class for all compound statements.
20032 @item 
20033 @ref{81a,,IndexedChoice}:
20034 A @code{SequentialChoice} is a base-class for all sequential choices
20036 @item 
20037 @ref{81b,,RangedChoice}:
20038 A @code{SequentialChoice} is a base-class for all sequential choices
20040 @item 
20041 @ref{81c,,Case}:
20042 A @code{Case} is a base-class for all cases.
20044 @item 
20045 @ref{81d,,OthersCase}:
20046 A @code{Case} is a base-class for all cases.
20048 @item 
20049 @ref{81e,,CaseStatement}:
20050 A @code{CompoundStatement} is a base-class for all compound statements.
20052 @item 
20053 @ref{81f,,ForLoopStatement}:
20054 A @code{LoopStatement} is a base-class for all loop statements.
20056 @item 
20057 @ref{820,,SequentialSimpleSignalAssignment}:
20058 A @code{SequentialStatement} is a base-class for all sequential statements.
20060 @item 
20061 @ref{821,,SequentialProcedureCall}:
20062 A @code{SequentialStatement} is a base-class for all sequential statements.
20064 @item 
20065 @ref{822,,SequentialAssertStatement}:
20066 A @code{SequentialStatement} is a base-class for all sequential statements.
20068 @item 
20069 @ref{823,,SequentialReportStatement}:
20070 A @code{SequentialStatement} is a base-class for all sequential statements.
20072 @item 
20073 @ref{824,,NullStatement}:
20074 A @code{SequentialStatement} is a base-class for all sequential statements.
20076 @item 
20077 @ref{825,,WaitStatement}:
20078 A @code{SequentialStatement} is a base-class for all sequential statements.
20079 @end itemize
20081 @c #-----------------------------------
20083 @geindex IfBranch (class in pyGHDL.dom.Sequential)
20084 @anchor{pyGHDL/pyGHDL dom Sequential pyGHDL dom Sequential IfBranch}@anchor{816}
20085 @deffn {Class} pyGHDL.dom.Sequential.IfBranch (branchNode, condition, statements=None)
20087 @subsubheading Inheritance
20089 @image{inheritance-2be1aa65d44c9d57ccd19c7f6837c79238c28640,,,[graphviz],png}
20091 @subsubheading Members
20094 @geindex parse() (pyGHDL.dom.Sequential.IfBranch class method)
20095 @anchor{pyGHDL/pyGHDL dom Sequential pyGHDL dom Sequential IfBranch parse}@anchor{826}
20096 @deffn {Method} classmethod  parse (branchNode, label)
20098 @*Return type: 
20099 @ref{816,,IfBranch}
20101 @end deffn
20103 @geindex Condition (pyGHDL.dom.Sequential.IfBranch property)
20104 @anchor{pyGHDL/pyGHDL dom Sequential pyGHDL dom Sequential IfBranch Condition}@anchor{827}
20105 @deffn {Property} Condition:  Union[BaseExpression,  @ref{203,,QualifiedExpression},  @ref{204,,FunctionCall},  @ref{205,,TypeConversion},  @ref{206,,Constant},  ConstantSymbol,  @ref{207,,Variable},  VariableSymbol,  @ref{208,,Signal},  SignalSymbol,  Literal]
20107 @*Return type: 
20108 Union@footnote{https://docs.python.org/3.6/library/typing.html#typing.Union}[BaseExpression@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.BaseExpression}, QualifiedExpression@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.QualifiedExpression}, FunctionCall@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.FunctionCall}, TypeConversion@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.TypeConversion}, Constant@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Constant}, ConstantSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.ConstantSymbol}, Variable@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Variable}, VariableSymbol, Signal@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Signal}, SignalSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.SignalSymbol}, @code{Literal}]
20110 @end deffn
20112 @geindex Parent (pyGHDL.dom.Sequential.IfBranch property)
20113 @anchor{pyGHDL/pyGHDL dom Sequential pyGHDL dom Sequential IfBranch Parent}@anchor{828}
20114 @deffn {Property} Parent:  pyVHDLModel.ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
20116 Returns a reference to the parent entity.
20118 @*Return type: 
20119 ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
20121 @end deffn
20123 @geindex Statements (pyGHDL.dom.Sequential.IfBranch property)
20124 @anchor{pyGHDL/pyGHDL dom Sequential pyGHDL dom Sequential IfBranch Statements}@anchor{829}
20125 @deffn {Property} Statements:  List[pyVHDLModel.SyntaxModel.SequentialStatement@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.SequentialStatement}]
20127 @*Return type: 
20128 List@footnote{https://docs.python.org/3.6/library/typing.html#typing.List}[SequentialStatement@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.SequentialStatement}]
20130 @end deffn
20132 @geindex _parent (pyGHDL.dom.Sequential.IfBranch attribute)
20133 @anchor{pyGHDL/pyGHDL dom Sequential pyGHDL dom Sequential IfBranch _parent}@anchor{82a}
20134 @deffn {Attribute} _parent:  ModelEntity
20136 Reference to a parent entity in the model.
20137 @end deffn
20139 @geindex _statements (pyGHDL.dom.Sequential.IfBranch attribute)
20140 @anchor{pyGHDL/pyGHDL dom Sequential pyGHDL dom Sequential IfBranch _statements}@anchor{82b}
20141 @deffn {Attribute} _statements:  List[SequentialStatement]
20142 @end deffn
20144 @geindex _condition (pyGHDL.dom.Sequential.IfBranch attribute)
20145 @anchor{pyGHDL/pyGHDL dom Sequential pyGHDL dom Sequential IfBranch _condition}@anchor{82c}
20146 @deffn {Attribute} _condition:  ExpressionUnion
20147 @end deffn
20148 @end deffn
20150 @geindex ElsifBranch (class in pyGHDL.dom.Sequential)
20151 @anchor{pyGHDL/pyGHDL dom Sequential pyGHDL dom Sequential ElsifBranch}@anchor{817}
20152 @deffn {Class} pyGHDL.dom.Sequential.ElsifBranch (branchNode, condition, statements=None)
20154 @subsubheading Inheritance
20156 @image{inheritance-c08b2282cf1179440026facd8cef913033e74d13,,,[graphviz],png}
20158 @subsubheading Members
20161 @geindex parse() (pyGHDL.dom.Sequential.ElsifBranch class method)
20162 @anchor{pyGHDL/pyGHDL dom Sequential pyGHDL dom Sequential ElsifBranch parse}@anchor{82d}
20163 @deffn {Method} classmethod  parse (branchNode, condition, label)
20165 @*Return type: 
20166 @ref{817,,ElsifBranch}
20168 @end deffn
20170 @geindex Condition (pyGHDL.dom.Sequential.ElsifBranch property)
20171 @anchor{pyGHDL/pyGHDL dom Sequential pyGHDL dom Sequential ElsifBranch Condition}@anchor{82e}
20172 @deffn {Property} Condition:  Union[BaseExpression,  @ref{203,,QualifiedExpression},  @ref{204,,FunctionCall},  @ref{205,,TypeConversion},  @ref{206,,Constant},  ConstantSymbol,  @ref{207,,Variable},  VariableSymbol,  @ref{208,,Signal},  SignalSymbol,  Literal]
20174 @*Return type: 
20175 Union@footnote{https://docs.python.org/3.6/library/typing.html#typing.Union}[BaseExpression@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.BaseExpression}, QualifiedExpression@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.QualifiedExpression}, FunctionCall@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.FunctionCall}, TypeConversion@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.TypeConversion}, Constant@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Constant}, ConstantSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.ConstantSymbol}, Variable@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Variable}, VariableSymbol, Signal@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Signal}, SignalSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.SignalSymbol}, @code{Literal}]
20177 @end deffn
20179 @geindex Parent (pyGHDL.dom.Sequential.ElsifBranch property)
20180 @anchor{pyGHDL/pyGHDL dom Sequential pyGHDL dom Sequential ElsifBranch Parent}@anchor{82f}
20181 @deffn {Property} Parent:  pyVHDLModel.ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
20183 Returns a reference to the parent entity.
20185 @*Return type: 
20186 ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
20188 @end deffn
20190 @geindex Statements (pyGHDL.dom.Sequential.ElsifBranch property)
20191 @anchor{pyGHDL/pyGHDL dom Sequential pyGHDL dom Sequential ElsifBranch Statements}@anchor{830}
20192 @deffn {Property} Statements:  List[pyVHDLModel.SyntaxModel.SequentialStatement@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.SequentialStatement}]
20194 @*Return type: 
20195 List@footnote{https://docs.python.org/3.6/library/typing.html#typing.List}[SequentialStatement@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.SequentialStatement}]
20197 @end deffn
20199 @geindex _parent (pyGHDL.dom.Sequential.ElsifBranch attribute)
20200 @anchor{pyGHDL/pyGHDL dom Sequential pyGHDL dom Sequential ElsifBranch _parent}@anchor{831}
20201 @deffn {Attribute} _parent:  ModelEntity
20203 Reference to a parent entity in the model.
20204 @end deffn
20206 @geindex _statements (pyGHDL.dom.Sequential.ElsifBranch attribute)
20207 @anchor{pyGHDL/pyGHDL dom Sequential pyGHDL dom Sequential ElsifBranch _statements}@anchor{832}
20208 @deffn {Attribute} _statements:  List[SequentialStatement]
20209 @end deffn
20211 @geindex _condition (pyGHDL.dom.Sequential.ElsifBranch attribute)
20212 @anchor{pyGHDL/pyGHDL dom Sequential pyGHDL dom Sequential ElsifBranch _condition}@anchor{833}
20213 @deffn {Attribute} _condition:  ExpressionUnion
20214 @end deffn
20215 @end deffn
20217 @geindex ElseBranch (class in pyGHDL.dom.Sequential)
20218 @anchor{pyGHDL/pyGHDL dom Sequential pyGHDL dom Sequential ElseBranch}@anchor{818}
20219 @deffn {Class} pyGHDL.dom.Sequential.ElseBranch (branchNode, statements=None)
20221 @subsubheading Inheritance
20223 @image{inheritance-8a178199051eb65d1d7be2fba1087471764199f6,,,[graphviz],png}
20225 @subsubheading Members
20228 @geindex parse() (pyGHDL.dom.Sequential.ElseBranch class method)
20229 @anchor{pyGHDL/pyGHDL dom Sequential pyGHDL dom Sequential ElseBranch parse}@anchor{834}
20230 @deffn {Method} classmethod  parse (branchNode, label)
20232 @*Return type: 
20233 @ref{818,,ElseBranch}
20235 @end deffn
20237 @geindex Parent (pyGHDL.dom.Sequential.ElseBranch property)
20238 @anchor{pyGHDL/pyGHDL dom Sequential pyGHDL dom Sequential ElseBranch Parent}@anchor{835}
20239 @deffn {Property} Parent:  pyVHDLModel.ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
20241 Returns a reference to the parent entity.
20243 @*Return type: 
20244 ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
20246 @end deffn
20248 @geindex Statements (pyGHDL.dom.Sequential.ElseBranch property)
20249 @anchor{pyGHDL/pyGHDL dom Sequential pyGHDL dom Sequential ElseBranch Statements}@anchor{836}
20250 @deffn {Property} Statements:  List[pyVHDLModel.SyntaxModel.SequentialStatement@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.SequentialStatement}]
20252 @*Return type: 
20253 List@footnote{https://docs.python.org/3.6/library/typing.html#typing.List}[SequentialStatement@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.SequentialStatement}]
20255 @end deffn
20257 @geindex _parent (pyGHDL.dom.Sequential.ElseBranch attribute)
20258 @anchor{pyGHDL/pyGHDL dom Sequential pyGHDL dom Sequential ElseBranch _parent}@anchor{837}
20259 @deffn {Attribute} _parent:  ModelEntity
20261 Reference to a parent entity in the model.
20262 @end deffn
20264 @geindex _statements (pyGHDL.dom.Sequential.ElseBranch attribute)
20265 @anchor{pyGHDL/pyGHDL dom Sequential pyGHDL dom Sequential ElseBranch _statements}@anchor{838}
20266 @deffn {Attribute} _statements:  List[SequentialStatement]
20267 @end deffn
20268 @end deffn
20270 @geindex IfStatement (class in pyGHDL.dom.Sequential)
20271 @anchor{pyGHDL/pyGHDL dom Sequential pyGHDL dom Sequential IfStatement}@anchor{819}
20272 @deffn {Class} pyGHDL.dom.Sequential.IfStatement (ifNode, ifBranch, elsifBranches=None, elseBranch=None, label=None)
20274 @subsubheading Inheritance
20276 @image{inheritance-ad207fb4c082ac72d0a8939fd8f5c43e6f0b330d,,,[graphviz],png}
20278 @subsubheading Members
20281 @geindex parse() (pyGHDL.dom.Sequential.IfStatement class method)
20282 @anchor{pyGHDL/pyGHDL dom Sequential pyGHDL dom Sequential IfStatement parse}@anchor{839}
20283 @deffn {Method} classmethod  parse (ifNode, label)
20285 @*Return type: 
20286 @ref{819,,IfStatement}
20288 @end deffn
20290 @geindex ElsIfBranches (pyGHDL.dom.Sequential.IfStatement property)
20291 @anchor{pyGHDL/pyGHDL dom Sequential pyGHDL dom Sequential IfStatement ElsIfBranches}@anchor{83a}
20292 @deffn {Property} ElsIfBranches:  List[pyVHDLModel.SyntaxModel.ElsifBranch@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.ElsifBranch}]
20294 @*Return type: 
20295 List@footnote{https://docs.python.org/3.6/library/typing.html#typing.List}[ElsifBranch@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.ElsifBranch}]
20297 @end deffn
20299 @geindex ElseBranch (pyGHDL.dom.Sequential.IfStatement property)
20300 @anchor{pyGHDL/pyGHDL dom Sequential pyGHDL dom Sequential IfStatement ElseBranch}@anchor{83b}
20301 @deffn {Property} ElseBranch:  pyVHDLModel.SyntaxModel.ElseBranch@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.ElseBranch}
20303 @*Return type: 
20304 ElseBranch@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.ElseBranch}
20306 @end deffn
20308 @geindex IfBranch (pyGHDL.dom.Sequential.IfStatement property)
20309 @anchor{pyGHDL/pyGHDL dom Sequential pyGHDL dom Sequential IfStatement IfBranch}@anchor{83c}
20310 @deffn {Property} IfBranch:  pyVHDLModel.SyntaxModel.IfBranch@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.IfBranch}
20312 @*Return type: 
20313 IfBranch@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.IfBranch}
20315 @end deffn
20317 @geindex Label (pyGHDL.dom.Sequential.IfStatement property)
20318 @anchor{pyGHDL/pyGHDL dom Sequential pyGHDL dom Sequential IfStatement Label}@anchor{83d}
20319 @deffn {Property} Label:  str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
20321 Returns a model entity’s label.
20323 @*Return type: 
20324 str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
20326 @end deffn
20328 @geindex Parent (pyGHDL.dom.Sequential.IfStatement property)
20329 @anchor{pyGHDL/pyGHDL dom Sequential pyGHDL dom Sequential IfStatement Parent}@anchor{83e}
20330 @deffn {Property} Parent:  pyVHDLModel.ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
20332 Returns a reference to the parent entity.
20334 @*Return type: 
20335 ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
20337 @end deffn
20339 @geindex Position (pyGHDL.dom.Sequential.IfStatement property)
20340 @anchor{pyGHDL/pyGHDL dom Sequential pyGHDL dom Sequential IfStatement Position}@anchor{83f}
20341 @deffn {Property} Position:  @ref{200,,pyGHDL.dom.Position}
20343 @*Return type: 
20344 @ref{200,,Position}
20346 @end deffn
20348 @geindex _position (pyGHDL.dom.Sequential.IfStatement attribute)
20349 @anchor{pyGHDL/pyGHDL dom Sequential pyGHDL dom Sequential IfStatement _position}@anchor{840}
20350 @deffn {Attribute} _position:  @ref{200,,pyGHDL.dom.Position}  =  None
20351 @end deffn
20353 @geindex _ifBranch (pyGHDL.dom.Sequential.IfStatement attribute)
20354 @anchor{pyGHDL/pyGHDL dom Sequential pyGHDL dom Sequential IfStatement _ifBranch}@anchor{841}
20355 @deffn {Attribute} _ifBranch:  pyVHDLModel.SyntaxModel.IfBranch@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.IfBranch}
20356 @end deffn
20358 @geindex _elsifBranches (pyGHDL.dom.Sequential.IfStatement attribute)
20359 @anchor{pyGHDL/pyGHDL dom Sequential pyGHDL dom Sequential IfStatement _elsifBranches}@anchor{842}
20360 @deffn {Attribute} _elsifBranches:  List[@ref{817,,pyGHDL.dom.Sequential.ElsifBranch}]
20361 @end deffn
20363 @geindex _elseBranch (pyGHDL.dom.Sequential.IfStatement attribute)
20364 @anchor{pyGHDL/pyGHDL dom Sequential pyGHDL dom Sequential IfStatement _elseBranch}@anchor{843}
20365 @deffn {Attribute} _elseBranch:  pyVHDLModel.SyntaxModel.ElseBranch@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.ElseBranch}
20366 @end deffn
20368 @geindex _parent (pyGHDL.dom.Sequential.IfStatement attribute)
20369 @anchor{pyGHDL/pyGHDL dom Sequential pyGHDL dom Sequential IfStatement _parent}@anchor{844}
20370 @deffn {Attribute} _parent:  ModelEntity
20372 Reference to a parent entity in the model.
20373 @end deffn
20375 @geindex _label (pyGHDL.dom.Sequential.IfStatement attribute)
20376 @anchor{pyGHDL/pyGHDL dom Sequential pyGHDL dom Sequential IfStatement _label}@anchor{845}
20377 @deffn {Attribute} _label:  str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
20379 The label of a model entity.
20380 @end deffn
20382 @geindex _iirNode (pyGHDL.dom.Sequential.IfStatement attribute)
20383 @anchor{pyGHDL/pyGHDL dom Sequential pyGHDL dom Sequential IfStatement _iirNode}@anchor{846}
20384 @deffn {Attribute} _iirNode:  pyGHDL.libghdl._types.Iir
20385 @end deffn
20386 @end deffn
20388 @geindex IndexedChoice (class in pyGHDL.dom.Sequential)
20389 @anchor{pyGHDL/pyGHDL dom Sequential pyGHDL dom Sequential IndexedChoice}@anchor{81a}
20390 @deffn {Class} pyGHDL.dom.Sequential.IndexedChoice (node, expression)
20392 @subsubheading Inheritance
20394 @image{inheritance-ed62c5a9a3f3375c27889b85ba6c6b7e7beb87a8,,,[graphviz],png}
20396 @subsubheading Members
20399 @geindex Expression (pyGHDL.dom.Sequential.IndexedChoice property)
20400 @anchor{pyGHDL/pyGHDL dom Sequential pyGHDL dom Sequential IndexedChoice Expression}@anchor{847}
20401 @deffn {Property} Expression:  Union[BaseExpression,  @ref{203,,QualifiedExpression},  @ref{204,,FunctionCall},  @ref{205,,TypeConversion},  @ref{206,,Constant},  ConstantSymbol,  @ref{207,,Variable},  VariableSymbol,  @ref{208,,Signal},  SignalSymbol,  Literal]
20403 @*Return type: 
20404 Union@footnote{https://docs.python.org/3.6/library/typing.html#typing.Union}[BaseExpression@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.BaseExpression}, QualifiedExpression@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.QualifiedExpression}, FunctionCall@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.FunctionCall}, TypeConversion@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.TypeConversion}, Constant@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Constant}, ConstantSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.ConstantSymbol}, Variable@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Variable}, VariableSymbol, Signal@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Signal}, SignalSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.SignalSymbol}, @code{Literal}]
20406 @end deffn
20408 @geindex Parent (pyGHDL.dom.Sequential.IndexedChoice property)
20409 @anchor{pyGHDL/pyGHDL dom Sequential pyGHDL dom Sequential IndexedChoice Parent}@anchor{848}
20410 @deffn {Property} Parent:  pyVHDLModel.ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
20412 Returns a reference to the parent entity.
20414 @*Return type: 
20415 ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
20417 @end deffn
20419 @geindex Position (pyGHDL.dom.Sequential.IndexedChoice property)
20420 @anchor{pyGHDL/pyGHDL dom Sequential pyGHDL dom Sequential IndexedChoice Position}@anchor{849}
20421 @deffn {Property} Position:  @ref{200,,pyGHDL.dom.Position}
20423 @*Return type: 
20424 @ref{200,,Position}
20426 @end deffn
20428 @geindex _position (pyGHDL.dom.Sequential.IndexedChoice attribute)
20429 @anchor{pyGHDL/pyGHDL dom Sequential pyGHDL dom Sequential IndexedChoice _position}@anchor{84a}
20430 @deffn {Attribute} _position:  @ref{200,,Position}  =  None
20431 @end deffn
20433 @geindex _expression (pyGHDL.dom.Sequential.IndexedChoice attribute)
20434 @anchor{pyGHDL/pyGHDL dom Sequential pyGHDL dom Sequential IndexedChoice _expression}@anchor{84b}
20435 @deffn {Attribute} _expression:  Union[BaseExpression,  @ref{203,,QualifiedExpression},  @ref{204,,FunctionCall},  @ref{205,,TypeConversion},  @ref{206,,Constant},  ConstantSymbol,  @ref{207,,Variable},  VariableSymbol,  @ref{208,,Signal},  SignalSymbol,  Literal]
20436 @end deffn
20438 @geindex _parent (pyGHDL.dom.Sequential.IndexedChoice attribute)
20439 @anchor{pyGHDL/pyGHDL dom Sequential pyGHDL dom Sequential IndexedChoice _parent}@anchor{84c}
20440 @deffn {Attribute} _parent:  ModelEntity
20442 Reference to a parent entity in the model.
20443 @end deffn
20445 @geindex _iirNode (pyGHDL.dom.Sequential.IndexedChoice attribute)
20446 @anchor{pyGHDL/pyGHDL dom Sequential pyGHDL dom Sequential IndexedChoice _iirNode}@anchor{84d}
20447 @deffn {Attribute} _iirNode:  Iir
20448 @end deffn
20449 @end deffn
20451 @geindex RangedChoice (class in pyGHDL.dom.Sequential)
20452 @anchor{pyGHDL/pyGHDL dom Sequential pyGHDL dom Sequential RangedChoice}@anchor{81b}
20453 @deffn {Class} pyGHDL.dom.Sequential.RangedChoice (node, rng)
20455 @subsubheading Inheritance
20457 @image{inheritance-174fcdd88fc82348c5ada1a03de9e2f754824a86,,,[graphviz],png}
20459 @subsubheading Members
20462 @geindex Parent (pyGHDL.dom.Sequential.RangedChoice property)
20463 @anchor{pyGHDL/pyGHDL dom Sequential pyGHDL dom Sequential RangedChoice Parent}@anchor{84e}
20464 @deffn {Property} Parent:  pyVHDLModel.ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
20466 Returns a reference to the parent entity.
20468 @*Return type: 
20469 ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
20471 @end deffn
20473 @geindex Position (pyGHDL.dom.Sequential.RangedChoice property)
20474 @anchor{pyGHDL/pyGHDL dom Sequential pyGHDL dom Sequential RangedChoice Position}@anchor{84f}
20475 @deffn {Property} Position:  @ref{200,,pyGHDL.dom.Position}
20477 @*Return type: 
20478 @ref{200,,Position}
20480 @end deffn
20482 @geindex Range (pyGHDL.dom.Sequential.RangedChoice property)
20483 @anchor{pyGHDL/pyGHDL dom Sequential pyGHDL dom Sequential RangedChoice Range}@anchor{850}
20484 @deffn {Property} Range:  pyVHDLModel.SyntaxModel.Range@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Range}
20486 @*Return type: 
20487 Range@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Range}
20489 @end deffn
20491 @geindex _position (pyGHDL.dom.Sequential.RangedChoice attribute)
20492 @anchor{pyGHDL/pyGHDL dom Sequential pyGHDL dom Sequential RangedChoice _position}@anchor{851}
20493 @deffn {Attribute} _position:  @ref{200,,pyGHDL.dom.Position}  =  None
20494 @end deffn
20496 @geindex _range (pyGHDL.dom.Sequential.RangedChoice attribute)
20497 @anchor{pyGHDL/pyGHDL dom Sequential pyGHDL dom Sequential RangedChoice _range}@anchor{852}
20498 @deffn {Attribute} _range:  @ref{21a,,pyGHDL.dom.Range.Range}
20499 @end deffn
20501 @geindex _parent (pyGHDL.dom.Sequential.RangedChoice attribute)
20502 @anchor{pyGHDL/pyGHDL dom Sequential pyGHDL dom Sequential RangedChoice _parent}@anchor{853}
20503 @deffn {Attribute} _parent:  ModelEntity
20505 Reference to a parent entity in the model.
20506 @end deffn
20508 @geindex _iirNode (pyGHDL.dom.Sequential.RangedChoice attribute)
20509 @anchor{pyGHDL/pyGHDL dom Sequential pyGHDL dom Sequential RangedChoice _iirNode}@anchor{854}
20510 @deffn {Attribute} _iirNode:  pyGHDL.libghdl._types.Iir
20511 @end deffn
20512 @end deffn
20514 @geindex Case (class in pyGHDL.dom.Sequential)
20515 @anchor{pyGHDL/pyGHDL dom Sequential pyGHDL dom Sequential Case}@anchor{81c}
20516 @deffn {Class} pyGHDL.dom.Sequential.Case (node, choices, statements=None)
20518 @subsubheading Inheritance
20520 @image{inheritance-989341f2129660db05539a468deaf6ababecd789,,,[graphviz],png}
20522 @subsubheading Members
20525 @geindex parse() (pyGHDL.dom.Sequential.Case class method)
20526 @anchor{pyGHDL/pyGHDL dom Sequential pyGHDL dom Sequential Case parse}@anchor{855}
20527 @deffn {Method} classmethod  parse (caseNode, choices, label)
20529 @*Return type: 
20530 @ref{81c,,Case}
20532 @end deffn
20534 @geindex Choices (pyGHDL.dom.Sequential.Case property)
20535 @anchor{pyGHDL/pyGHDL dom Sequential pyGHDL dom Sequential Case Choices}@anchor{856}
20536 @deffn {Property} Choices:  List[pyVHDLModel.SyntaxModel.SequentialChoice@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.SequentialChoice}]
20538 @*Return type: 
20539 List@footnote{https://docs.python.org/3.6/library/typing.html#typing.List}[SequentialChoice@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.SequentialChoice}]
20541 @end deffn
20543 @geindex Parent (pyGHDL.dom.Sequential.Case property)
20544 @anchor{pyGHDL/pyGHDL dom Sequential pyGHDL dom Sequential Case Parent}@anchor{857}
20545 @deffn {Property} Parent:  pyVHDLModel.ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
20547 Returns a reference to the parent entity.
20549 @*Return type: 
20550 ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
20552 @end deffn
20554 @geindex Position (pyGHDL.dom.Sequential.Case property)
20555 @anchor{pyGHDL/pyGHDL dom Sequential pyGHDL dom Sequential Case Position}@anchor{858}
20556 @deffn {Property} Position:  @ref{200,,pyGHDL.dom.Position}
20558 @*Return type: 
20559 @ref{200,,Position}
20561 @end deffn
20563 @geindex Statements (pyGHDL.dom.Sequential.Case property)
20564 @anchor{pyGHDL/pyGHDL dom Sequential pyGHDL dom Sequential Case Statements}@anchor{859}
20565 @deffn {Property} Statements:  List[pyVHDLModel.SyntaxModel.SequentialStatement@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.SequentialStatement}]
20567 @*Return type: 
20568 List@footnote{https://docs.python.org/3.6/library/typing.html#typing.List}[SequentialStatement@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.SequentialStatement}]
20570 @end deffn
20572 @geindex _position (pyGHDL.dom.Sequential.Case attribute)
20573 @anchor{pyGHDL/pyGHDL dom Sequential pyGHDL dom Sequential Case _position}@anchor{85a}
20574 @deffn {Attribute} _position:  @ref{200,,Position}  =  None
20575 @end deffn
20577 @geindex _choices (pyGHDL.dom.Sequential.Case attribute)
20578 @anchor{pyGHDL/pyGHDL dom Sequential pyGHDL dom Sequential Case _choices}@anchor{85b}
20579 @deffn {Attribute} _choices:  List[pyVHDLModel.SyntaxModel.SequentialChoice@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.SequentialChoice}]
20580 @end deffn
20582 @geindex _parent (pyGHDL.dom.Sequential.Case attribute)
20583 @anchor{pyGHDL/pyGHDL dom Sequential pyGHDL dom Sequential Case _parent}@anchor{85c}
20584 @deffn {Attribute} _parent:  ModelEntity
20586 Reference to a parent entity in the model.
20587 @end deffn
20589 @geindex _statements (pyGHDL.dom.Sequential.Case attribute)
20590 @anchor{pyGHDL/pyGHDL dom Sequential pyGHDL dom Sequential Case _statements}@anchor{85d}
20591 @deffn {Attribute} _statements:  List[SequentialStatement]
20592 @end deffn
20594 @geindex _iirNode (pyGHDL.dom.Sequential.Case attribute)
20595 @anchor{pyGHDL/pyGHDL dom Sequential pyGHDL dom Sequential Case _iirNode}@anchor{85e}
20596 @deffn {Attribute} _iirNode:  Iir
20597 @end deffn
20598 @end deffn
20600 @geindex OthersCase (class in pyGHDL.dom.Sequential)
20601 @anchor{pyGHDL/pyGHDL dom Sequential pyGHDL dom Sequential OthersCase}@anchor{81d}
20602 @deffn {Class} pyGHDL.dom.Sequential.OthersCase (caseNode, statements=None)
20604 @subsubheading Inheritance
20606 @image{inheritance-8001d33ed8be06c6fa40b553f427210b3d8db97b,,,[graphviz],png}
20608 @subsubheading Members
20611 @geindex parse() (pyGHDL.dom.Sequential.OthersCase class method)
20612 @anchor{pyGHDL/pyGHDL dom Sequential pyGHDL dom Sequential OthersCase parse}@anchor{85f}
20613 @deffn {Method} classmethod  parse (caseNode, label=None)
20615 @*Return type: 
20616 @ref{81d,,OthersCase}
20618 @end deffn
20620 @geindex Choices (pyGHDL.dom.Sequential.OthersCase property)
20621 @anchor{pyGHDL/pyGHDL dom Sequential pyGHDL dom Sequential OthersCase Choices}@anchor{860}
20622 @deffn {Property} Choices:  List[pyVHDLModel.SyntaxModel.Choice@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Choice}]
20624 @*Return type: 
20625 List@footnote{https://docs.python.org/3.6/library/typing.html#typing.List}[Choice@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Choice}]
20627 @end deffn
20629 @geindex Parent (pyGHDL.dom.Sequential.OthersCase property)
20630 @anchor{pyGHDL/pyGHDL dom Sequential pyGHDL dom Sequential OthersCase Parent}@anchor{861}
20631 @deffn {Property} Parent:  pyVHDLModel.ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
20633 Returns a reference to the parent entity.
20635 @*Return type: 
20636 ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
20638 @end deffn
20640 @geindex Position (pyGHDL.dom.Sequential.OthersCase property)
20641 @anchor{pyGHDL/pyGHDL dom Sequential pyGHDL dom Sequential OthersCase Position}@anchor{862}
20642 @deffn {Property} Position:  @ref{200,,pyGHDL.dom.Position}
20644 @*Return type: 
20645 @ref{200,,Position}
20647 @end deffn
20649 @geindex Statements (pyGHDL.dom.Sequential.OthersCase property)
20650 @anchor{pyGHDL/pyGHDL dom Sequential pyGHDL dom Sequential OthersCase Statements}@anchor{863}
20651 @deffn {Property} Statements:  List[pyVHDLModel.SyntaxModel.SequentialStatement@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.SequentialStatement}]
20653 @*Return type: 
20654 List@footnote{https://docs.python.org/3.6/library/typing.html#typing.List}[SequentialStatement@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.SequentialStatement}]
20656 @end deffn
20658 @geindex _position (pyGHDL.dom.Sequential.OthersCase attribute)
20659 @anchor{pyGHDL/pyGHDL dom Sequential pyGHDL dom Sequential OthersCase _position}@anchor{864}
20660 @deffn {Attribute} _position:  @ref{200,,Position}  =  None
20661 @end deffn
20663 @geindex _choices (pyGHDL.dom.Sequential.OthersCase attribute)
20664 @anchor{pyGHDL/pyGHDL dom Sequential pyGHDL dom Sequential OthersCase _choices}@anchor{865}
20665 @deffn {Attribute} _choices:  List
20666 @end deffn
20668 @geindex _parent (pyGHDL.dom.Sequential.OthersCase attribute)
20669 @anchor{pyGHDL/pyGHDL dom Sequential pyGHDL dom Sequential OthersCase _parent}@anchor{866}
20670 @deffn {Attribute} _parent:  ModelEntity
20672 Reference to a parent entity in the model.
20673 @end deffn
20675 @geindex _statements (pyGHDL.dom.Sequential.OthersCase attribute)
20676 @anchor{pyGHDL/pyGHDL dom Sequential pyGHDL dom Sequential OthersCase _statements}@anchor{867}
20677 @deffn {Attribute} _statements:  List[SequentialStatement]
20678 @end deffn
20680 @geindex _iirNode (pyGHDL.dom.Sequential.OthersCase attribute)
20681 @anchor{pyGHDL/pyGHDL dom Sequential pyGHDL dom Sequential OthersCase _iirNode}@anchor{868}
20682 @deffn {Attribute} _iirNode:  Iir
20683 @end deffn
20684 @end deffn
20686 @geindex CaseStatement (class in pyGHDL.dom.Sequential)
20687 @anchor{pyGHDL/pyGHDL dom Sequential pyGHDL dom Sequential CaseStatement}@anchor{81e}
20688 @deffn {Class} pyGHDL.dom.Sequential.CaseStatement (caseNode, label, expression, cases)
20690 @subsubheading Inheritance
20692 @image{inheritance-c0bf13d2467bf9318fc1c0ede0340c4cd8e47f85,,,[graphviz],png}
20694 @subsubheading Members
20697 @geindex parse() (pyGHDL.dom.Sequential.CaseStatement class method)
20698 @anchor{pyGHDL/pyGHDL dom Sequential pyGHDL dom Sequential CaseStatement parse}@anchor{869}
20699 @deffn {Method} classmethod  parse (caseNode, label)
20701 @*Return type: 
20702 @ref{81e,,CaseStatement}
20704 @end deffn
20706 @geindex Cases (pyGHDL.dom.Sequential.CaseStatement property)
20707 @anchor{pyGHDL/pyGHDL dom Sequential pyGHDL dom Sequential CaseStatement Cases}@anchor{86a}
20708 @deffn {Property} Cases:  List[pyVHDLModel.SyntaxModel.SequentialCase@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.SequentialCase}]
20710 @*Return type: 
20711 List@footnote{https://docs.python.org/3.6/library/typing.html#typing.List}[SequentialCase@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.SequentialCase}]
20713 @end deffn
20715 @geindex Label (pyGHDL.dom.Sequential.CaseStatement property)
20716 @anchor{pyGHDL/pyGHDL dom Sequential pyGHDL dom Sequential CaseStatement Label}@anchor{86b}
20717 @deffn {Property} Label:  str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
20719 Returns a model entity’s label.
20721 @*Return type: 
20722 str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
20724 @end deffn
20726 @geindex Parent (pyGHDL.dom.Sequential.CaseStatement property)
20727 @anchor{pyGHDL/pyGHDL dom Sequential pyGHDL dom Sequential CaseStatement Parent}@anchor{86c}
20728 @deffn {Property} Parent:  pyVHDLModel.ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
20730 Returns a reference to the parent entity.
20732 @*Return type: 
20733 ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
20735 @end deffn
20737 @geindex Position (pyGHDL.dom.Sequential.CaseStatement property)
20738 @anchor{pyGHDL/pyGHDL dom Sequential pyGHDL dom Sequential CaseStatement Position}@anchor{86d}
20739 @deffn {Property} Position:  @ref{200,,pyGHDL.dom.Position}
20741 @*Return type: 
20742 @ref{200,,Position}
20744 @end deffn
20746 @geindex SelectExpression (pyGHDL.dom.Sequential.CaseStatement property)
20747 @anchor{pyGHDL/pyGHDL dom Sequential pyGHDL dom Sequential CaseStatement SelectExpression}@anchor{86e}
20748 @deffn {Property} SelectExpression:  Union[BaseExpression,  @ref{203,,QualifiedExpression},  @ref{204,,FunctionCall},  @ref{205,,TypeConversion},  @ref{206,,Constant},  ConstantSymbol,  @ref{207,,Variable},  VariableSymbol,  @ref{208,,Signal},  SignalSymbol,  Literal]
20750 @*Return type: 
20751 Union@footnote{https://docs.python.org/3.6/library/typing.html#typing.Union}[BaseExpression@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.BaseExpression}, QualifiedExpression@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.QualifiedExpression}, FunctionCall@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.FunctionCall}, TypeConversion@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.TypeConversion}, Constant@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Constant}, ConstantSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.ConstantSymbol}, Variable@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Variable}, VariableSymbol, Signal@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Signal}, SignalSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.SignalSymbol}, @code{Literal}]
20753 @end deffn
20755 @geindex _position (pyGHDL.dom.Sequential.CaseStatement attribute)
20756 @anchor{pyGHDL/pyGHDL dom Sequential pyGHDL dom Sequential CaseStatement _position}@anchor{86f}
20757 @deffn {Attribute} _position:  @ref{200,,Position}  =  None
20758 @end deffn
20760 @geindex _expression (pyGHDL.dom.Sequential.CaseStatement attribute)
20761 @anchor{pyGHDL/pyGHDL dom Sequential pyGHDL dom Sequential CaseStatement _expression}@anchor{870}
20762 @deffn {Attribute} _expression:  Union[BaseExpression,  @ref{203,,QualifiedExpression},  @ref{204,,FunctionCall},  @ref{205,,TypeConversion},  @ref{206,,Constant},  ConstantSymbol,  @ref{207,,Variable},  VariableSymbol,  @ref{208,,Signal},  SignalSymbol,  Literal]
20763 @end deffn
20765 @geindex _cases (pyGHDL.dom.Sequential.CaseStatement attribute)
20766 @anchor{pyGHDL/pyGHDL dom Sequential pyGHDL dom Sequential CaseStatement _cases}@anchor{871}
20767 @deffn {Attribute} _cases:  List[pyVHDLModel.SyntaxModel.SequentialCase@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.SequentialCase}]
20768 @end deffn
20770 @geindex _parent (pyGHDL.dom.Sequential.CaseStatement attribute)
20771 @anchor{pyGHDL/pyGHDL dom Sequential pyGHDL dom Sequential CaseStatement _parent}@anchor{872}
20772 @deffn {Attribute} _parent:  ModelEntity
20774 Reference to a parent entity in the model.
20775 @end deffn
20777 @geindex _label (pyGHDL.dom.Sequential.CaseStatement attribute)
20778 @anchor{pyGHDL/pyGHDL dom Sequential pyGHDL dom Sequential CaseStatement _label}@anchor{873}
20779 @deffn {Attribute} _label:  str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
20781 The label of a model entity.
20782 @end deffn
20784 @geindex _iirNode (pyGHDL.dom.Sequential.CaseStatement attribute)
20785 @anchor{pyGHDL/pyGHDL dom Sequential pyGHDL dom Sequential CaseStatement _iirNode}@anchor{874}
20786 @deffn {Attribute} _iirNode:  Iir
20787 @end deffn
20788 @end deffn
20790 @geindex ForLoopStatement (class in pyGHDL.dom.Sequential)
20791 @anchor{pyGHDL/pyGHDL dom Sequential pyGHDL dom Sequential ForLoopStatement}@anchor{81f}
20792 @deffn {Class} pyGHDL.dom.Sequential.ForLoopStatement (loopNode, loopIndex, rng, statements=None, label=None)
20794 @subsubheading Inheritance
20796 @image{inheritance-33285d161423c6f82412206037289897e7d360e4,,,[graphviz],png}
20798 @subsubheading Members
20801 @geindex parse() (pyGHDL.dom.Sequential.ForLoopStatement class method)
20802 @anchor{pyGHDL/pyGHDL dom Sequential pyGHDL dom Sequential ForLoopStatement parse}@anchor{875}
20803 @deffn {Method} classmethod  parse (loopNode, label)
20805 @*Return type: 
20806 @ref{81f,,ForLoopStatement}
20808 @end deffn
20810 @geindex Label (pyGHDL.dom.Sequential.ForLoopStatement property)
20811 @anchor{pyGHDL/pyGHDL dom Sequential pyGHDL dom Sequential ForLoopStatement Label}@anchor{876}
20812 @deffn {Property} Label:  str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
20814 Returns a model entity’s label.
20816 @*Return type: 
20817 str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
20819 @end deffn
20821 @geindex LoopIndex (pyGHDL.dom.Sequential.ForLoopStatement property)
20822 @anchor{pyGHDL/pyGHDL dom Sequential pyGHDL dom Sequential ForLoopStatement LoopIndex}@anchor{877}
20823 @deffn {Property} LoopIndex:  str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
20825 @*Return type: 
20826 str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
20828 @end deffn
20830 @geindex Parent (pyGHDL.dom.Sequential.ForLoopStatement property)
20831 @anchor{pyGHDL/pyGHDL dom Sequential pyGHDL dom Sequential ForLoopStatement Parent}@anchor{878}
20832 @deffn {Property} Parent:  pyVHDLModel.ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
20834 Returns a reference to the parent entity.
20836 @*Return type: 
20837 ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
20839 @end deffn
20841 @geindex Position (pyGHDL.dom.Sequential.ForLoopStatement property)
20842 @anchor{pyGHDL/pyGHDL dom Sequential pyGHDL dom Sequential ForLoopStatement Position}@anchor{879}
20843 @deffn {Property} Position:  @ref{200,,pyGHDL.dom.Position}
20845 @*Return type: 
20846 @ref{200,,Position}
20848 @end deffn
20850 @geindex Range (pyGHDL.dom.Sequential.ForLoopStatement property)
20851 @anchor{pyGHDL/pyGHDL dom Sequential pyGHDL dom Sequential ForLoopStatement Range}@anchor{87a}
20852 @deffn {Property} Range:  pyVHDLModel.SyntaxModel.Range@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Range}
20854 @*Return type: 
20855 Range@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Range}
20857 @end deffn
20859 @geindex Statements (pyGHDL.dom.Sequential.ForLoopStatement property)
20860 @anchor{pyGHDL/pyGHDL dom Sequential pyGHDL dom Sequential ForLoopStatement Statements}@anchor{87b}
20861 @deffn {Property} Statements:  List[pyVHDLModel.SyntaxModel.SequentialStatement@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.SequentialStatement}]
20863 @*Return type: 
20864 List@footnote{https://docs.python.org/3.6/library/typing.html#typing.List}[SequentialStatement@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.SequentialStatement}]
20866 @end deffn
20868 @geindex _position (pyGHDL.dom.Sequential.ForLoopStatement attribute)
20869 @anchor{pyGHDL/pyGHDL dom Sequential pyGHDL dom Sequential ForLoopStatement _position}@anchor{87c}
20870 @deffn {Attribute} _position:  @ref{200,,Position}  =  None
20871 @end deffn
20873 @geindex _loopIndex (pyGHDL.dom.Sequential.ForLoopStatement attribute)
20874 @anchor{pyGHDL/pyGHDL dom Sequential pyGHDL dom Sequential ForLoopStatement _loopIndex}@anchor{87d}
20875 @deffn {Attribute} _loopIndex:  str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
20876 @end deffn
20878 @geindex _range (pyGHDL.dom.Sequential.ForLoopStatement attribute)
20879 @anchor{pyGHDL/pyGHDL dom Sequential pyGHDL dom Sequential ForLoopStatement _range}@anchor{87e}
20880 @deffn {Attribute} _range:  pyVHDLModel.SyntaxModel.Range@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Range}
20881 @end deffn
20883 @geindex _parent (pyGHDL.dom.Sequential.ForLoopStatement attribute)
20884 @anchor{pyGHDL/pyGHDL dom Sequential pyGHDL dom Sequential ForLoopStatement _parent}@anchor{87f}
20885 @deffn {Attribute} _parent:  ModelEntity
20887 Reference to a parent entity in the model.
20888 @end deffn
20890 @geindex _label (pyGHDL.dom.Sequential.ForLoopStatement attribute)
20891 @anchor{pyGHDL/pyGHDL dom Sequential pyGHDL dom Sequential ForLoopStatement _label}@anchor{880}
20892 @deffn {Attribute} _label:  str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
20894 The label of a model entity.
20895 @end deffn
20897 @geindex _statements (pyGHDL.dom.Sequential.ForLoopStatement attribute)
20898 @anchor{pyGHDL/pyGHDL dom Sequential pyGHDL dom Sequential ForLoopStatement _statements}@anchor{881}
20899 @deffn {Attribute} _statements:  List[SequentialStatement]
20900 @end deffn
20902 @geindex _iirNode (pyGHDL.dom.Sequential.ForLoopStatement attribute)
20903 @anchor{pyGHDL/pyGHDL dom Sequential pyGHDL dom Sequential ForLoopStatement _iirNode}@anchor{882}
20904 @deffn {Attribute} _iirNode:  Iir
20905 @end deffn
20906 @end deffn
20908 @geindex SequentialSimpleSignalAssignment (class in pyGHDL.dom.Sequential)
20909 @anchor{pyGHDL/pyGHDL dom Sequential pyGHDL dom Sequential SequentialSimpleSignalAssignment}@anchor{820}
20910 @deffn {Class} pyGHDL.dom.Sequential.SequentialSimpleSignalAssignment (assignmentNode, target, waveform, label=None)
20912 @subsubheading Inheritance
20914 @image{inheritance-50a70fe51cab1892b5dca229f8783ccc2eed633d,,,[graphviz],png}
20916 @subsubheading Members
20919 @geindex parse() (pyGHDL.dom.Sequential.SequentialSimpleSignalAssignment class method)
20920 @anchor{pyGHDL/pyGHDL dom Sequential pyGHDL dom Sequential SequentialSimpleSignalAssignment parse}@anchor{883}
20921 @deffn {Method} classmethod  parse (assignmentNode, label=None)
20923 @*Return type: 
20924 @ref{820,,SequentialSimpleSignalAssignment}
20926 @end deffn
20928 @geindex Label (pyGHDL.dom.Sequential.SequentialSimpleSignalAssignment property)
20929 @anchor{pyGHDL/pyGHDL dom Sequential pyGHDL dom Sequential SequentialSimpleSignalAssignment Label}@anchor{884}
20930 @deffn {Property} Label:  str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
20932 Returns a model entity’s label.
20934 @*Return type: 
20935 str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
20937 @end deffn
20939 @geindex Parent (pyGHDL.dom.Sequential.SequentialSimpleSignalAssignment property)
20940 @anchor{pyGHDL/pyGHDL dom Sequential pyGHDL dom Sequential SequentialSimpleSignalAssignment Parent}@anchor{885}
20941 @deffn {Property} Parent:  pyVHDLModel.ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
20943 Returns a reference to the parent entity.
20945 @*Return type: 
20946 ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
20948 @end deffn
20950 @geindex Position (pyGHDL.dom.Sequential.SequentialSimpleSignalAssignment property)
20951 @anchor{pyGHDL/pyGHDL dom Sequential pyGHDL dom Sequential SequentialSimpleSignalAssignment Position}@anchor{886}
20952 @deffn {Property} Position:  @ref{200,,pyGHDL.dom.Position}
20954 @*Return type: 
20955 @ref{200,,Position}
20957 @end deffn
20959 @geindex Target (pyGHDL.dom.Sequential.SequentialSimpleSignalAssignment property)
20960 @anchor{pyGHDL/pyGHDL dom Sequential pyGHDL dom Sequential SequentialSimpleSignalAssignment Target}@anchor{887}
20961 @deffn {Property} Target:  pyVHDLModel.SyntaxModel.Name@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Name}
20963 @*Return type: 
20964 Name@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Name}
20966 @end deffn
20968 @geindex Waveform (pyGHDL.dom.Sequential.SequentialSimpleSignalAssignment property)
20969 @anchor{pyGHDL/pyGHDL dom Sequential pyGHDL dom Sequential SequentialSimpleSignalAssignment Waveform}@anchor{888}
20970 @deffn {Property} Waveform:  List[pyVHDLModel.SyntaxModel.WaveformElement@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.WaveformElement}]
20972 @*Return type: 
20973 List@footnote{https://docs.python.org/3.6/library/typing.html#typing.List}[WaveformElement@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.WaveformElement}]
20975 @end deffn
20977 @geindex _position (pyGHDL.dom.Sequential.SequentialSimpleSignalAssignment attribute)
20978 @anchor{pyGHDL/pyGHDL dom Sequential pyGHDL dom Sequential SequentialSimpleSignalAssignment _position}@anchor{889}
20979 @deffn {Attribute} _position:  @ref{200,,pyGHDL.dom.Position}  =  None
20980 @end deffn
20982 @geindex _waveform (pyGHDL.dom.Sequential.SequentialSimpleSignalAssignment attribute)
20983 @anchor{pyGHDL/pyGHDL dom Sequential pyGHDL dom Sequential SequentialSimpleSignalAssignment _waveform}@anchor{88a}
20984 @deffn {Attribute} _waveform:  List[pyVHDLModel.SyntaxModel.WaveformElement@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.WaveformElement}]
20985 @end deffn
20987 @geindex _parent (pyGHDL.dom.Sequential.SequentialSimpleSignalAssignment attribute)
20988 @anchor{pyGHDL/pyGHDL dom Sequential pyGHDL dom Sequential SequentialSimpleSignalAssignment _parent}@anchor{88b}
20989 @deffn {Attribute} _parent:  ModelEntity
20991 Reference to a parent entity in the model.
20992 @end deffn
20994 @geindex _label (pyGHDL.dom.Sequential.SequentialSimpleSignalAssignment attribute)
20995 @anchor{pyGHDL/pyGHDL dom Sequential pyGHDL dom Sequential SequentialSimpleSignalAssignment _label}@anchor{88c}
20996 @deffn {Attribute} _label:  str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
20998 The label of a model entity.
20999 @end deffn
21001 @geindex _target (pyGHDL.dom.Sequential.SequentialSimpleSignalAssignment attribute)
21002 @anchor{pyGHDL/pyGHDL dom Sequential pyGHDL dom Sequential SequentialSimpleSignalAssignment _target}@anchor{88d}
21003 @deffn {Attribute} _target:  pyVHDLModel.SyntaxModel.Name@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Name}
21004 @end deffn
21006 @geindex _iirNode (pyGHDL.dom.Sequential.SequentialSimpleSignalAssignment attribute)
21007 @anchor{pyGHDL/pyGHDL dom Sequential pyGHDL dom Sequential SequentialSimpleSignalAssignment _iirNode}@anchor{88e}
21008 @deffn {Attribute} _iirNode:  pyGHDL.libghdl._types.Iir
21009 @end deffn
21010 @end deffn
21012 @geindex SequentialProcedureCall (class in pyGHDL.dom.Sequential)
21013 @anchor{pyGHDL/pyGHDL dom Sequential pyGHDL dom Sequential SequentialProcedureCall}@anchor{821}
21014 @deffn {Class} pyGHDL.dom.Sequential.SequentialProcedureCall (callNode, procedureName, parameterMappings, label=None)
21016 @subsubheading Inheritance
21018 @image{inheritance-4aac85fe8950e6adeb235638b1dde1e00eec9e7c,,,[graphviz],png}
21020 @subsubheading Members
21023 @geindex parse() (pyGHDL.dom.Sequential.SequentialProcedureCall class method)
21024 @anchor{pyGHDL/pyGHDL dom Sequential pyGHDL dom Sequential SequentialProcedureCall parse}@anchor{88f}
21025 @deffn {Method} classmethod  parse (callNode, label)
21027 @*Return type: 
21028 @ref{821,,SequentialProcedureCall}
21030 @end deffn
21032 @geindex Label (pyGHDL.dom.Sequential.SequentialProcedureCall property)
21033 @anchor{pyGHDL/pyGHDL dom Sequential pyGHDL dom Sequential SequentialProcedureCall Label}@anchor{890}
21034 @deffn {Property} Label:  str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
21036 Returns a model entity’s label.
21038 @*Return type: 
21039 str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
21041 @end deffn
21043 @geindex ParameterMappings (pyGHDL.dom.Sequential.SequentialProcedureCall property)
21044 @anchor{pyGHDL/pyGHDL dom Sequential pyGHDL dom Sequential SequentialProcedureCall ParameterMappings}@anchor{891}
21045 @deffn {Property} ParameterMappings:  List[pyVHDLModel.SyntaxModel.ParameterAssociationItem@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.ParameterAssociationItem}]
21047 @*Return type: 
21048 List@footnote{https://docs.python.org/3.6/library/typing.html#typing.List}[ParameterAssociationItem@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.ParameterAssociationItem}]
21050 @end deffn
21052 @geindex Parent (pyGHDL.dom.Sequential.SequentialProcedureCall property)
21053 @anchor{pyGHDL/pyGHDL dom Sequential pyGHDL dom Sequential SequentialProcedureCall Parent}@anchor{892}
21054 @deffn {Property} Parent:  pyVHDLModel.ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
21056 Returns a reference to the parent entity.
21058 @*Return type: 
21059 ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
21061 @end deffn
21063 @geindex Position (pyGHDL.dom.Sequential.SequentialProcedureCall property)
21064 @anchor{pyGHDL/pyGHDL dom Sequential pyGHDL dom Sequential SequentialProcedureCall Position}@anchor{893}
21065 @deffn {Property} Position:  @ref{200,,pyGHDL.dom.Position}
21067 @*Return type: 
21068 @ref{200,,Position}
21070 @end deffn
21072 @geindex Procedure (pyGHDL.dom.Sequential.SequentialProcedureCall property)
21073 @anchor{pyGHDL/pyGHDL dom Sequential pyGHDL dom Sequential SequentialProcedureCall Procedure}@anchor{894}
21074 @deffn {Property} Procedure:  pyVHDLModel.SyntaxModel.Name@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Name}
21076 @*Return type: 
21077 Name@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Name}
21079 @end deffn
21081 @geindex _position (pyGHDL.dom.Sequential.SequentialProcedureCall attribute)
21082 @anchor{pyGHDL/pyGHDL dom Sequential pyGHDL dom Sequential SequentialProcedureCall _position}@anchor{895}
21083 @deffn {Attribute} _position:  @ref{200,,Position}  =  None
21084 @end deffn
21086 @geindex _parent (pyGHDL.dom.Sequential.SequentialProcedureCall attribute)
21087 @anchor{pyGHDL/pyGHDL dom Sequential pyGHDL dom Sequential SequentialProcedureCall _parent}@anchor{896}
21088 @deffn {Attribute} _parent:  ModelEntity
21090 Reference to a parent entity in the model.
21091 @end deffn
21093 @geindex _label (pyGHDL.dom.Sequential.SequentialProcedureCall attribute)
21094 @anchor{pyGHDL/pyGHDL dom Sequential pyGHDL dom Sequential SequentialProcedureCall _label}@anchor{897}
21095 @deffn {Attribute} _label:  str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
21097 The label of a model entity.
21098 @end deffn
21100 @geindex _procedure (pyGHDL.dom.Sequential.SequentialProcedureCall attribute)
21101 @anchor{pyGHDL/pyGHDL dom Sequential pyGHDL dom Sequential SequentialProcedureCall _procedure}@anchor{898}
21102 @deffn {Attribute} _procedure:  @ref{354,,Name}
21103 @end deffn
21105 @geindex _parameterMappings (pyGHDL.dom.Sequential.SequentialProcedureCall attribute)
21106 @anchor{pyGHDL/pyGHDL dom Sequential pyGHDL dom Sequential SequentialProcedureCall _parameterMappings}@anchor{899}
21107 @deffn {Attribute} _parameterMappings:  List[@ref{24f,,ParameterAssociationItem}]
21108 @end deffn
21110 @geindex _iirNode (pyGHDL.dom.Sequential.SequentialProcedureCall attribute)
21111 @anchor{pyGHDL/pyGHDL dom Sequential pyGHDL dom Sequential SequentialProcedureCall _iirNode}@anchor{89a}
21112 @deffn {Attribute} _iirNode:  Iir
21113 @end deffn
21114 @end deffn
21116 @geindex SequentialAssertStatement (class in pyGHDL.dom.Sequential)
21117 @anchor{pyGHDL/pyGHDL dom Sequential pyGHDL dom Sequential SequentialAssertStatement}@anchor{822}
21118 @deffn {Class} pyGHDL.dom.Sequential.SequentialAssertStatement (assertNode, condition, message=None, severity=None, label=None)
21120 @subsubheading Inheritance
21122 @image{inheritance-edd6165f9c2f98fc59fe29420529ab4c942b921d,,,[graphviz],png}
21124 @subsubheading Members
21127 @geindex parse() (pyGHDL.dom.Sequential.SequentialAssertStatement class method)
21128 @anchor{pyGHDL/pyGHDL dom Sequential pyGHDL dom Sequential SequentialAssertStatement parse}@anchor{89b}
21129 @deffn {Method} classmethod  parse (assertNode, label)
21131 @*Return type: 
21132 @ref{822,,SequentialAssertStatement}
21134 @end deffn
21136 @geindex Condition (pyGHDL.dom.Sequential.SequentialAssertStatement property)
21137 @anchor{pyGHDL/pyGHDL dom Sequential pyGHDL dom Sequential SequentialAssertStatement Condition}@anchor{89c}
21138 @deffn {Property} Condition:  Union[BaseExpression,  @ref{203,,QualifiedExpression},  @ref{204,,FunctionCall},  @ref{205,,TypeConversion},  @ref{206,,Constant},  ConstantSymbol,  @ref{207,,Variable},  VariableSymbol,  @ref{208,,Signal},  SignalSymbol,  Literal]
21140 @*Return type: 
21141 Union@footnote{https://docs.python.org/3.6/library/typing.html#typing.Union}[BaseExpression@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.BaseExpression}, QualifiedExpression@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.QualifiedExpression}, FunctionCall@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.FunctionCall}, TypeConversion@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.TypeConversion}, Constant@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Constant}, ConstantSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.ConstantSymbol}, Variable@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Variable}, VariableSymbol, Signal@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Signal}, SignalSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.SignalSymbol}, @code{Literal}]
21143 @end deffn
21145 @geindex Label (pyGHDL.dom.Sequential.SequentialAssertStatement property)
21146 @anchor{pyGHDL/pyGHDL dom Sequential pyGHDL dom Sequential SequentialAssertStatement Label}@anchor{89d}
21147 @deffn {Property} Label:  str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
21149 Returns a model entity’s label.
21151 @*Return type: 
21152 str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
21154 @end deffn
21156 @geindex Message (pyGHDL.dom.Sequential.SequentialAssertStatement property)
21157 @anchor{pyGHDL/pyGHDL dom Sequential pyGHDL dom Sequential SequentialAssertStatement Message}@anchor{89e}
21158 @deffn {Property} Message:  Union[BaseExpression,  @ref{203,,QualifiedExpression},  @ref{204,,FunctionCall},  @ref{205,,TypeConversion},  @ref{206,,Constant},  ConstantSymbol,  @ref{207,,Variable},  VariableSymbol,  @ref{208,,Signal},  SignalSymbol,  Literal]
21160 @*Return type: 
21161 Union@footnote{https://docs.python.org/3.6/library/typing.html#typing.Union}[BaseExpression@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.BaseExpression}, QualifiedExpression@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.QualifiedExpression}, FunctionCall@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.FunctionCall}, TypeConversion@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.TypeConversion}, Constant@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Constant}, ConstantSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.ConstantSymbol}, Variable@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Variable}, VariableSymbol, Signal@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Signal}, SignalSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.SignalSymbol}, @code{Literal}]
21163 @end deffn
21165 @geindex Parent (pyGHDL.dom.Sequential.SequentialAssertStatement property)
21166 @anchor{pyGHDL/pyGHDL dom Sequential pyGHDL dom Sequential SequentialAssertStatement Parent}@anchor{89f}
21167 @deffn {Property} Parent:  pyVHDLModel.ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
21169 Returns a reference to the parent entity.
21171 @*Return type: 
21172 ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
21174 @end deffn
21176 @geindex Position (pyGHDL.dom.Sequential.SequentialAssertStatement property)
21177 @anchor{pyGHDL/pyGHDL dom Sequential pyGHDL dom Sequential SequentialAssertStatement Position}@anchor{8a0}
21178 @deffn {Property} Position:  @ref{200,,pyGHDL.dom.Position}
21180 @*Return type: 
21181 @ref{200,,Position}
21183 @end deffn
21185 @geindex Severity (pyGHDL.dom.Sequential.SequentialAssertStatement property)
21186 @anchor{pyGHDL/pyGHDL dom Sequential pyGHDL dom Sequential SequentialAssertStatement Severity}@anchor{8a1}
21187 @deffn {Property} Severity:  Union[BaseExpression,  @ref{203,,QualifiedExpression},  @ref{204,,FunctionCall},  @ref{205,,TypeConversion},  @ref{206,,Constant},  ConstantSymbol,  @ref{207,,Variable},  VariableSymbol,  @ref{208,,Signal},  SignalSymbol,  Literal]
21189 @*Return type: 
21190 Union@footnote{https://docs.python.org/3.6/library/typing.html#typing.Union}[BaseExpression@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.BaseExpression}, QualifiedExpression@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.QualifiedExpression}, FunctionCall@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.FunctionCall}, TypeConversion@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.TypeConversion}, Constant@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Constant}, ConstantSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.ConstantSymbol}, Variable@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Variable}, VariableSymbol, Signal@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Signal}, SignalSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.SignalSymbol}, @code{Literal}]
21192 @end deffn
21194 @geindex _position (pyGHDL.dom.Sequential.SequentialAssertStatement attribute)
21195 @anchor{pyGHDL/pyGHDL dom Sequential pyGHDL dom Sequential SequentialAssertStatement _position}@anchor{8a2}
21196 @deffn {Attribute} _position:  @ref{200,,Position}  =  None
21197 @end deffn
21199 @geindex _parent (pyGHDL.dom.Sequential.SequentialAssertStatement attribute)
21200 @anchor{pyGHDL/pyGHDL dom Sequential pyGHDL dom Sequential SequentialAssertStatement _parent}@anchor{8a3}
21201 @deffn {Attribute} _parent:  ModelEntity
21203 Reference to a parent entity in the model.
21204 @end deffn
21206 @geindex _label (pyGHDL.dom.Sequential.SequentialAssertStatement attribute)
21207 @anchor{pyGHDL/pyGHDL dom Sequential pyGHDL dom Sequential SequentialAssertStatement _label}@anchor{8a4}
21208 @deffn {Attribute} _label:  str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
21210 The label of a model entity.
21211 @end deffn
21213 @geindex _condition (pyGHDL.dom.Sequential.SequentialAssertStatement attribute)
21214 @anchor{pyGHDL/pyGHDL dom Sequential pyGHDL dom Sequential SequentialAssertStatement _condition}@anchor{8a5}
21215 @deffn {Attribute} _condition:  ExpressionUnion
21216 @end deffn
21218 @geindex _message (pyGHDL.dom.Sequential.SequentialAssertStatement attribute)
21219 @anchor{pyGHDL/pyGHDL dom Sequential pyGHDL dom Sequential SequentialAssertStatement _message}@anchor{8a6}
21220 @deffn {Attribute} _message:  ExpressionUnion
21221 @end deffn
21223 @geindex _severity (pyGHDL.dom.Sequential.SequentialAssertStatement attribute)
21224 @anchor{pyGHDL/pyGHDL dom Sequential pyGHDL dom Sequential SequentialAssertStatement _severity}@anchor{8a7}
21225 @deffn {Attribute} _severity:  ExpressionUnion
21226 @end deffn
21228 @geindex _iirNode (pyGHDL.dom.Sequential.SequentialAssertStatement attribute)
21229 @anchor{pyGHDL/pyGHDL dom Sequential pyGHDL dom Sequential SequentialAssertStatement _iirNode}@anchor{8a8}
21230 @deffn {Attribute} _iirNode:  Iir
21231 @end deffn
21232 @end deffn
21234 @geindex SequentialReportStatement (class in pyGHDL.dom.Sequential)
21235 @anchor{pyGHDL/pyGHDL dom Sequential pyGHDL dom Sequential SequentialReportStatement}@anchor{823}
21236 @deffn {Class} pyGHDL.dom.Sequential.SequentialReportStatement (reportNode, message, severity=None, label=None)
21238 @subsubheading Inheritance
21240 @image{inheritance-fb8aedfbed3408a80504debc28c314b5cda9deef,,,[graphviz],png}
21242 @subsubheading Members
21245 @geindex parse() (pyGHDL.dom.Sequential.SequentialReportStatement class method)
21246 @anchor{pyGHDL/pyGHDL dom Sequential pyGHDL dom Sequential SequentialReportStatement parse}@anchor{8a9}
21247 @deffn {Method} classmethod  parse (reportNode, label)
21249 @*Return type: 
21250 @ref{823,,SequentialReportStatement}
21252 @end deffn
21254 @geindex Label (pyGHDL.dom.Sequential.SequentialReportStatement property)
21255 @anchor{pyGHDL/pyGHDL dom Sequential pyGHDL dom Sequential SequentialReportStatement Label}@anchor{8aa}
21256 @deffn {Property} Label:  str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
21258 Returns a model entity’s label.
21260 @*Return type: 
21261 str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
21263 @end deffn
21265 @geindex Message (pyGHDL.dom.Sequential.SequentialReportStatement property)
21266 @anchor{pyGHDL/pyGHDL dom Sequential pyGHDL dom Sequential SequentialReportStatement Message}@anchor{8ab}
21267 @deffn {Property} Message:  Union[BaseExpression,  @ref{203,,QualifiedExpression},  @ref{204,,FunctionCall},  @ref{205,,TypeConversion},  @ref{206,,Constant},  ConstantSymbol,  @ref{207,,Variable},  VariableSymbol,  @ref{208,,Signal},  SignalSymbol,  Literal]
21269 @*Return type: 
21270 Union@footnote{https://docs.python.org/3.6/library/typing.html#typing.Union}[BaseExpression@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.BaseExpression}, QualifiedExpression@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.QualifiedExpression}, FunctionCall@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.FunctionCall}, TypeConversion@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.TypeConversion}, Constant@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Constant}, ConstantSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.ConstantSymbol}, Variable@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Variable}, VariableSymbol, Signal@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Signal}, SignalSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.SignalSymbol}, @code{Literal}]
21272 @end deffn
21274 @geindex Parent (pyGHDL.dom.Sequential.SequentialReportStatement property)
21275 @anchor{pyGHDL/pyGHDL dom Sequential pyGHDL dom Sequential SequentialReportStatement Parent}@anchor{8ac}
21276 @deffn {Property} Parent:  pyVHDLModel.ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
21278 Returns a reference to the parent entity.
21280 @*Return type: 
21281 ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
21283 @end deffn
21285 @geindex Position (pyGHDL.dom.Sequential.SequentialReportStatement property)
21286 @anchor{pyGHDL/pyGHDL dom Sequential pyGHDL dom Sequential SequentialReportStatement Position}@anchor{8ad}
21287 @deffn {Property} Position:  @ref{200,,pyGHDL.dom.Position}
21289 @*Return type: 
21290 @ref{200,,Position}
21292 @end deffn
21294 @geindex Severity (pyGHDL.dom.Sequential.SequentialReportStatement property)
21295 @anchor{pyGHDL/pyGHDL dom Sequential pyGHDL dom Sequential SequentialReportStatement Severity}@anchor{8ae}
21296 @deffn {Property} Severity:  Union[BaseExpression,  @ref{203,,QualifiedExpression},  @ref{204,,FunctionCall},  @ref{205,,TypeConversion},  @ref{206,,Constant},  ConstantSymbol,  @ref{207,,Variable},  VariableSymbol,  @ref{208,,Signal},  SignalSymbol,  Literal]
21298 @*Return type: 
21299 Union@footnote{https://docs.python.org/3.6/library/typing.html#typing.Union}[BaseExpression@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.BaseExpression}, QualifiedExpression@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.QualifiedExpression}, FunctionCall@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.FunctionCall}, TypeConversion@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.TypeConversion}, Constant@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Constant}, ConstantSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.ConstantSymbol}, Variable@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Variable}, VariableSymbol, Signal@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Signal}, SignalSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.SignalSymbol}, @code{Literal}]
21301 @end deffn
21303 @geindex _position (pyGHDL.dom.Sequential.SequentialReportStatement attribute)
21304 @anchor{pyGHDL/pyGHDL dom Sequential pyGHDL dom Sequential SequentialReportStatement _position}@anchor{8af}
21305 @deffn {Attribute} _position:  @ref{200,,Position}  =  None
21306 @end deffn
21308 @geindex _parent (pyGHDL.dom.Sequential.SequentialReportStatement attribute)
21309 @anchor{pyGHDL/pyGHDL dom Sequential pyGHDL dom Sequential SequentialReportStatement _parent}@anchor{8b0}
21310 @deffn {Attribute} _parent:  ModelEntity
21312 Reference to a parent entity in the model.
21313 @end deffn
21315 @geindex _label (pyGHDL.dom.Sequential.SequentialReportStatement attribute)
21316 @anchor{pyGHDL/pyGHDL dom Sequential pyGHDL dom Sequential SequentialReportStatement _label}@anchor{8b1}
21317 @deffn {Attribute} _label:  str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
21319 The label of a model entity.
21320 @end deffn
21322 @geindex _message (pyGHDL.dom.Sequential.SequentialReportStatement attribute)
21323 @anchor{pyGHDL/pyGHDL dom Sequential pyGHDL dom Sequential SequentialReportStatement _message}@anchor{8b2}
21324 @deffn {Attribute} _message:  ExpressionUnion
21325 @end deffn
21327 @geindex _severity (pyGHDL.dom.Sequential.SequentialReportStatement attribute)
21328 @anchor{pyGHDL/pyGHDL dom Sequential pyGHDL dom Sequential SequentialReportStatement _severity}@anchor{8b3}
21329 @deffn {Attribute} _severity:  ExpressionUnion
21330 @end deffn
21332 @geindex _iirNode (pyGHDL.dom.Sequential.SequentialReportStatement attribute)
21333 @anchor{pyGHDL/pyGHDL dom Sequential pyGHDL dom Sequential SequentialReportStatement _iirNode}@anchor{8b4}
21334 @deffn {Attribute} _iirNode:  Iir
21335 @end deffn
21336 @end deffn
21338 @geindex NullStatement (class in pyGHDL.dom.Sequential)
21339 @anchor{pyGHDL/pyGHDL dom Sequential pyGHDL dom Sequential NullStatement}@anchor{824}
21340 @deffn {Class} pyGHDL.dom.Sequential.NullStatement (waitNode, label=None)
21342 @subsubheading Inheritance
21344 @image{inheritance-ed7b52a693d7be033fae298be352f755831fb48c,,,[graphviz],png}
21346 @subsubheading Members
21349 @geindex Label (pyGHDL.dom.Sequential.NullStatement property)
21350 @anchor{pyGHDL/pyGHDL dom Sequential pyGHDL dom Sequential NullStatement Label}@anchor{8b5}
21351 @deffn {Property} Label:  str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
21353 Returns a model entity’s label.
21355 @*Return type: 
21356 str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
21358 @end deffn
21360 @geindex Parent (pyGHDL.dom.Sequential.NullStatement property)
21361 @anchor{pyGHDL/pyGHDL dom Sequential pyGHDL dom Sequential NullStatement Parent}@anchor{8b6}
21362 @deffn {Property} Parent:  pyVHDLModel.ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
21364 Returns a reference to the parent entity.
21366 @*Return type: 
21367 ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
21369 @end deffn
21371 @geindex Position (pyGHDL.dom.Sequential.NullStatement property)
21372 @anchor{pyGHDL/pyGHDL dom Sequential pyGHDL dom Sequential NullStatement Position}@anchor{8b7}
21373 @deffn {Property} Position:  @ref{200,,pyGHDL.dom.Position}
21375 @*Return type: 
21376 @ref{200,,Position}
21378 @end deffn
21380 @geindex _position (pyGHDL.dom.Sequential.NullStatement attribute)
21381 @anchor{pyGHDL/pyGHDL dom Sequential pyGHDL dom Sequential NullStatement _position}@anchor{8b8}
21382 @deffn {Attribute} _position:  @ref{200,,Position}  =  None
21383 @end deffn
21385 @geindex _parent (pyGHDL.dom.Sequential.NullStatement attribute)
21386 @anchor{pyGHDL/pyGHDL dom Sequential pyGHDL dom Sequential NullStatement _parent}@anchor{8b9}
21387 @deffn {Attribute} _parent:  ModelEntity
21389 Reference to a parent entity in the model.
21390 @end deffn
21392 @geindex _label (pyGHDL.dom.Sequential.NullStatement attribute)
21393 @anchor{pyGHDL/pyGHDL dom Sequential pyGHDL dom Sequential NullStatement _label}@anchor{8ba}
21394 @deffn {Attribute} _label:  str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
21396 The label of a model entity.
21397 @end deffn
21399 @geindex _iirNode (pyGHDL.dom.Sequential.NullStatement attribute)
21400 @anchor{pyGHDL/pyGHDL dom Sequential pyGHDL dom Sequential NullStatement _iirNode}@anchor{8bb}
21401 @deffn {Attribute} _iirNode:  Iir
21402 @end deffn
21403 @end deffn
21405 @geindex WaitStatement (class in pyGHDL.dom.Sequential)
21406 @anchor{pyGHDL/pyGHDL dom Sequential pyGHDL dom Sequential WaitStatement}@anchor{825}
21407 @deffn {Class} pyGHDL.dom.Sequential.WaitStatement (waitNode, sensitivityList=None, condition=None, timeout=None, label=None)
21409 @subsubheading Inheritance
21411 @image{inheritance-9e76f0f9d646afddf1e02779af181bf736ecc0fb,,,[graphviz],png}
21413 @subsubheading Members
21416 @geindex parse() (pyGHDL.dom.Sequential.WaitStatement class method)
21417 @anchor{pyGHDL/pyGHDL dom Sequential pyGHDL dom Sequential WaitStatement parse}@anchor{8bc}
21418 @deffn {Method} classmethod  parse (waitNode, label)
21420 @*Return type: 
21421 @ref{825,,WaitStatement}
21423 @end deffn
21425 @geindex Condition (pyGHDL.dom.Sequential.WaitStatement property)
21426 @anchor{pyGHDL/pyGHDL dom Sequential pyGHDL dom Sequential WaitStatement Condition}@anchor{8bd}
21427 @deffn {Property} Condition:  Union[BaseExpression,  @ref{203,,QualifiedExpression},  @ref{204,,FunctionCall},  @ref{205,,TypeConversion},  @ref{206,,Constant},  ConstantSymbol,  @ref{207,,Variable},  VariableSymbol,  @ref{208,,Signal},  SignalSymbol,  Literal]
21429 @*Return type: 
21430 Union@footnote{https://docs.python.org/3.6/library/typing.html#typing.Union}[BaseExpression@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.BaseExpression}, QualifiedExpression@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.QualifiedExpression}, FunctionCall@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.FunctionCall}, TypeConversion@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.TypeConversion}, Constant@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Constant}, ConstantSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.ConstantSymbol}, Variable@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Variable}, VariableSymbol, Signal@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Signal}, SignalSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.SignalSymbol}, @code{Literal}]
21432 @end deffn
21434 @geindex Label (pyGHDL.dom.Sequential.WaitStatement property)
21435 @anchor{pyGHDL/pyGHDL dom Sequential pyGHDL dom Sequential WaitStatement Label}@anchor{8be}
21436 @deffn {Property} Label:  str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
21438 Returns a model entity’s label.
21440 @*Return type: 
21441 str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
21443 @end deffn
21445 @geindex Parent (pyGHDL.dom.Sequential.WaitStatement property)
21446 @anchor{pyGHDL/pyGHDL dom Sequential pyGHDL dom Sequential WaitStatement Parent}@anchor{8bf}
21447 @deffn {Property} Parent:  pyVHDLModel.ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
21449 Returns a reference to the parent entity.
21451 @*Return type: 
21452 ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
21454 @end deffn
21456 @geindex Position (pyGHDL.dom.Sequential.WaitStatement property)
21457 @anchor{pyGHDL/pyGHDL dom Sequential pyGHDL dom Sequential WaitStatement Position}@anchor{8c0}
21458 @deffn {Property} Position:  @ref{200,,pyGHDL.dom.Position}
21460 @*Return type: 
21461 @ref{200,,Position}
21463 @end deffn
21465 @geindex SensitivityList (pyGHDL.dom.Sequential.WaitStatement property)
21466 @anchor{pyGHDL/pyGHDL dom Sequential pyGHDL dom Sequential WaitStatement SensitivityList}@anchor{8c1}
21467 @deffn {Property} SensitivityList:  List[pyVHDLModel.SyntaxModel.Name@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Name}]
21469 @*Return type: 
21470 List@footnote{https://docs.python.org/3.6/library/typing.html#typing.List}[Name@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Name}]
21472 @end deffn
21474 @geindex Timeout (pyGHDL.dom.Sequential.WaitStatement property)
21475 @anchor{pyGHDL/pyGHDL dom Sequential pyGHDL dom Sequential WaitStatement Timeout}@anchor{8c2}
21476 @deffn {Property} Timeout:  Union[BaseExpression,  @ref{203,,QualifiedExpression},  @ref{204,,FunctionCall},  @ref{205,,TypeConversion},  @ref{206,,Constant},  ConstantSymbol,  @ref{207,,Variable},  VariableSymbol,  @ref{208,,Signal},  SignalSymbol,  Literal]
21478 @*Return type: 
21479 Union@footnote{https://docs.python.org/3.6/library/typing.html#typing.Union}[BaseExpression@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.BaseExpression}, QualifiedExpression@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.QualifiedExpression}, FunctionCall@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.FunctionCall}, TypeConversion@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.TypeConversion}, Constant@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Constant}, ConstantSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.ConstantSymbol}, Variable@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Variable}, VariableSymbol, Signal@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Signal}, SignalSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.SignalSymbol}, @code{Literal}]
21481 @end deffn
21483 @geindex _position (pyGHDL.dom.Sequential.WaitStatement attribute)
21484 @anchor{pyGHDL/pyGHDL dom Sequential pyGHDL dom Sequential WaitStatement _position}@anchor{8c3}
21485 @deffn {Attribute} _position:  @ref{200,,Position}  =  None
21486 @end deffn
21488 @geindex _sensitivityList (pyGHDL.dom.Sequential.WaitStatement attribute)
21489 @anchor{pyGHDL/pyGHDL dom Sequential pyGHDL dom Sequential WaitStatement _sensitivityList}@anchor{8c4}
21490 @deffn {Attribute} _sensitivityList:  Optional[List[pyVHDLModel.SyntaxModel.Name@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Name}]]
21491 @end deffn
21493 @geindex _timeout (pyGHDL.dom.Sequential.WaitStatement attribute)
21494 @anchor{pyGHDL/pyGHDL dom Sequential pyGHDL dom Sequential WaitStatement _timeout}@anchor{8c5}
21495 @deffn {Attribute} _timeout:  Union[BaseExpression,  @ref{203,,QualifiedExpression},  @ref{204,,FunctionCall},  @ref{205,,TypeConversion},  @ref{206,,Constant},  ConstantSymbol,  @ref{207,,Variable},  VariableSymbol,  @ref{208,,Signal},  SignalSymbol,  Literal]
21496 @end deffn
21498 @geindex _parent (pyGHDL.dom.Sequential.WaitStatement attribute)
21499 @anchor{pyGHDL/pyGHDL dom Sequential pyGHDL dom Sequential WaitStatement _parent}@anchor{8c6}
21500 @deffn {Attribute} _parent:  ModelEntity
21502 Reference to a parent entity in the model.
21503 @end deffn
21505 @geindex _label (pyGHDL.dom.Sequential.WaitStatement attribute)
21506 @anchor{pyGHDL/pyGHDL dom Sequential pyGHDL dom Sequential WaitStatement _label}@anchor{8c7}
21507 @deffn {Attribute} _label:  str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
21509 The label of a model entity.
21510 @end deffn
21512 @geindex _condition (pyGHDL.dom.Sequential.WaitStatement attribute)
21513 @anchor{pyGHDL/pyGHDL dom Sequential pyGHDL dom Sequential WaitStatement _condition}@anchor{8c8}
21514 @deffn {Attribute} _condition:  ExpressionUnion
21515 @end deffn
21517 @geindex _iirNode (pyGHDL.dom.Sequential.WaitStatement attribute)
21518 @anchor{pyGHDL/pyGHDL dom Sequential pyGHDL dom Sequential WaitStatement _iirNode}@anchor{8c9}
21519 @deffn {Attribute} _iirNode:  Iir
21520 @end deffn
21521 @end deffn
21523 @c # Load pre-defined aliases and graphical characters like © from docutils
21524 @c # <file> is used to denote the special path
21525 @c # <Python>\Lib\site-packages\docutils\parsers\rst\include
21527 @c This data file has been placed in the public domain.
21529 @c Derived from the Unicode character mappings available from
21530 @c <http://www.w3.org/2003/entities/xml/>.
21531 @c Processed by unicode2rstsubs.py, part of Docutils:
21532 @c <http://docutils.sourceforge.net>.
21534 @c This data file has been placed in the public domain.
21536 @c Derived from the Unicode character mappings available from
21537 @c <http://www.w3.org/2003/entities/xml/>.
21538 @c Processed by unicode2rstsubs.py, part of Docutils:
21539 @c <http://docutils.sourceforge.net>.
21541 @c # define a hard line break for HTML
21543 @node pyGHDL dom Subprogram,pyGHDL dom Symbol,pyGHDL dom Sequential,pyGHDL dom
21544 @anchor{pyGHDL/pyGHDL dom Subprogram doc}@anchor{8ca}@anchor{pyGHDL/pyGHDL dom Subprogram module-pyGHDL dom Subprogram}@anchor{17}@anchor{pyGHDL/pyGHDL dom Subprogram pyghdl-dom-subprogram}@anchor{8cb}
21545 @subsection pyGHDL.dom.Subprogram
21548 @geindex module; pyGHDL.dom.Subprogram
21550 @c #-----------------------------------
21552 @strong{Classes}
21555 @itemize -
21557 @item 
21558 @ref{8cc,,Function}:
21559 @code{ModelEntity} is the base class for all classes in the VHDL language model,
21561 @item 
21562 @ref{8cd,,Procedure}:
21563 @code{ModelEntity} is the base class for all classes in the VHDL language model,
21564 @end itemize
21566 @c #-----------------------------------
21568 @geindex Function (class in pyGHDL.dom.Subprogram)
21569 @anchor{pyGHDL/pyGHDL dom Subprogram pyGHDL dom Subprogram Function}@anchor{8cc}
21570 @deffn {Class} pyGHDL.dom.Subprogram.Function (node, functionName, returnType, genericItems=None, parameterItems=None)
21572 @subsubheading Inheritance
21574 @image{inheritance-9ce87281bce5cb20ee7f6c05227b8cce31904780,,,[graphviz],png}
21576 @subsubheading Members
21579 @geindex _genericItems (pyGHDL.dom.Subprogram.Function attribute)
21580 @anchor{pyGHDL/pyGHDL dom Subprogram pyGHDL dom Subprogram Function _genericItems}@anchor{8ce}
21581 @deffn {Attribute} _genericItems:  List['GenericInterfaceItem']
21582 @end deffn
21584 @geindex _parameterItems (pyGHDL.dom.Subprogram.Function attribute)
21585 @anchor{pyGHDL/pyGHDL dom Subprogram pyGHDL dom Subprogram Function _parameterItems}@anchor{8cf}
21586 @deffn {Attribute} _parameterItems:  List['ParameterInterfaceItem']
21587 @end deffn
21589 @geindex _returnType (pyGHDL.dom.Subprogram.Function attribute)
21590 @anchor{pyGHDL/pyGHDL dom Subprogram pyGHDL dom Subprogram Function _returnType}@anchor{8d0}
21591 @deffn {Attribute} _returnType:  pyVHDLModel.SyntaxModel.Subtype@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Subtype}
21592 @end deffn
21594 @geindex parse() (pyGHDL.dom.Subprogram.Function class method)
21595 @anchor{pyGHDL/pyGHDL dom Subprogram pyGHDL dom Subprogram Function parse}@anchor{8d1}
21596 @deffn {Method} classmethod  parse (functionNode)
21598 @*Return type: 
21599 @ref{8cc,,Function}
21601 @end deffn
21603 @geindex DeclaredItems (pyGHDL.dom.Subprogram.Function property)
21604 @anchor{pyGHDL/pyGHDL dom Subprogram pyGHDL dom Subprogram Function DeclaredItems}@anchor{8d2}
21605 @deffn {Property} DeclaredItems:  List
21607 @*Return type: 
21608 List@footnote{https://docs.python.org/3.6/library/typing.html#typing.List}
21610 @end deffn
21612 @geindex GenericItems (pyGHDL.dom.Subprogram.Function property)
21613 @anchor{pyGHDL/pyGHDL dom Subprogram pyGHDL dom Subprogram Function GenericItems}@anchor{8d3}
21614 @deffn {Property} GenericItems:  List[pyVHDLModel.SyntaxModel.GenericInterfaceItem@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.GenericInterfaceItem}]
21616 @*Return type: 
21617 List@footnote{https://docs.python.org/3.6/library/typing.html#typing.List}[GenericInterfaceItem@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.GenericInterfaceItem}]
21619 @end deffn
21621 @geindex Identifier (pyGHDL.dom.Subprogram.Function property)
21622 @anchor{pyGHDL/pyGHDL dom Subprogram pyGHDL dom Subprogram Function Identifier}@anchor{8d4}
21623 @deffn {Property} Identifier:  str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
21625 Returns a model entity’s identifier (name).
21627 @*Return type: 
21628 str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
21630 @end deffn
21632 @geindex IsPure (pyGHDL.dom.Subprogram.Function property)
21633 @anchor{pyGHDL/pyGHDL dom Subprogram pyGHDL dom Subprogram Function IsPure}@anchor{8d5}
21634 @deffn {Property} IsPure:  bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
21636 @*Return type: 
21637 bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
21639 @end deffn
21641 @geindex ParameterItems (pyGHDL.dom.Subprogram.Function property)
21642 @anchor{pyGHDL/pyGHDL dom Subprogram pyGHDL dom Subprogram Function ParameterItems}@anchor{8d6}
21643 @deffn {Property} ParameterItems:  List[pyVHDLModel.SyntaxModel.ParameterInterfaceItem@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.ParameterInterfaceItem}]
21645 @*Return type: 
21646 List@footnote{https://docs.python.org/3.6/library/typing.html#typing.List}[ParameterInterfaceItem@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.ParameterInterfaceItem}]
21648 @end deffn
21650 @geindex Parent (pyGHDL.dom.Subprogram.Function property)
21651 @anchor{pyGHDL/pyGHDL dom Subprogram pyGHDL dom Subprogram Function Parent}@anchor{8d7}
21652 @deffn {Property} Parent:  pyVHDLModel.ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
21654 Returns a reference to the parent entity.
21656 @*Return type: 
21657 ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
21659 @end deffn
21661 @geindex Position (pyGHDL.dom.Subprogram.Function property)
21662 @anchor{pyGHDL/pyGHDL dom Subprogram pyGHDL dom Subprogram Function Position}@anchor{8d8}
21663 @deffn {Property} Position:  @ref{200,,pyGHDL.dom.Position}
21665 @*Return type: 
21666 @ref{200,,Position}
21668 @end deffn
21670 @geindex ReturnType (pyGHDL.dom.Subprogram.Function property)
21671 @anchor{pyGHDL/pyGHDL dom Subprogram pyGHDL dom Subprogram Function ReturnType}@anchor{8d9}
21672 @deffn {Property} ReturnType:  pyVHDLModel.SyntaxModel.Subtype@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Subtype}
21674 @*Return type: 
21675 Subtype@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Subtype}
21677 @end deffn
21679 @geindex Statements (pyGHDL.dom.Subprogram.Function property)
21680 @anchor{pyGHDL/pyGHDL dom Subprogram pyGHDL dom Subprogram Function Statements}@anchor{8da}
21681 @deffn {Property} Statements:  List[pyVHDLModel.SyntaxModel.SequentialStatement@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.SequentialStatement}]
21683 @*Return type: 
21684 List@footnote{https://docs.python.org/3.6/library/typing.html#typing.List}[SequentialStatement@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.SequentialStatement}]
21686 @end deffn
21688 @geindex _position (pyGHDL.dom.Subprogram.Function attribute)
21689 @anchor{pyGHDL/pyGHDL dom Subprogram pyGHDL dom Subprogram Function _position}@anchor{8db}
21690 @deffn {Attribute} _position:  @ref{200,,Position}  =  None
21691 @end deffn
21693 @geindex _declaredItems (pyGHDL.dom.Subprogram.Function attribute)
21694 @anchor{pyGHDL/pyGHDL dom Subprogram pyGHDL dom Subprogram Function _declaredItems}@anchor{8dc}
21695 @deffn {Attribute} _declaredItems:  List
21696 @end deffn
21698 @geindex _statements (pyGHDL.dom.Subprogram.Function attribute)
21699 @anchor{pyGHDL/pyGHDL dom Subprogram pyGHDL dom Subprogram Function _statements}@anchor{8dd}
21700 @deffn {Attribute} _statements:  List['SequentialStatement']
21701 @end deffn
21703 @geindex _isPure (pyGHDL.dom.Subprogram.Function attribute)
21704 @anchor{pyGHDL/pyGHDL dom Subprogram pyGHDL dom Subprogram Function _isPure}@anchor{8de}
21705 @deffn {Attribute} _isPure:  bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
21706 @end deffn
21708 @geindex _parent (pyGHDL.dom.Subprogram.Function attribute)
21709 @anchor{pyGHDL/pyGHDL dom Subprogram pyGHDL dom Subprogram Function _parent}@anchor{8df}
21710 @deffn {Attribute} _parent:  ModelEntity
21712 Reference to a parent entity in the model.
21713 @end deffn
21715 @geindex _identifier (pyGHDL.dom.Subprogram.Function attribute)
21716 @anchor{pyGHDL/pyGHDL dom Subprogram pyGHDL dom Subprogram Function _identifier}@anchor{8e0}
21717 @deffn {Attribute} _identifier:  str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
21719 The identifier of a model entity.
21720 @end deffn
21722 @geindex _iirNode (pyGHDL.dom.Subprogram.Function attribute)
21723 @anchor{pyGHDL/pyGHDL dom Subprogram pyGHDL dom Subprogram Function _iirNode}@anchor{8e1}
21724 @deffn {Attribute} _iirNode:  Iir
21725 @end deffn
21726 @end deffn
21728 @geindex Procedure (class in pyGHDL.dom.Subprogram)
21729 @anchor{pyGHDL/pyGHDL dom Subprogram pyGHDL dom Subprogram Procedure}@anchor{8cd}
21730 @deffn {Class} pyGHDL.dom.Subprogram.Procedure (node, procedureName, genericItems=None, parameterItems=None)
21732 @subsubheading Inheritance
21734 @image{inheritance-980aa6ce541dccd701ae402c05afcd2bafab28ea,,,[graphviz],png}
21736 @subsubheading Members
21739 @geindex _genericItems (pyGHDL.dom.Subprogram.Procedure attribute)
21740 @anchor{pyGHDL/pyGHDL dom Subprogram pyGHDL dom Subprogram Procedure _genericItems}@anchor{8e2}
21741 @deffn {Attribute} _genericItems:  List['GenericInterfaceItem']
21742 @end deffn
21744 @geindex _parameterItems (pyGHDL.dom.Subprogram.Procedure attribute)
21745 @anchor{pyGHDL/pyGHDL dom Subprogram pyGHDL dom Subprogram Procedure _parameterItems}@anchor{8e3}
21746 @deffn {Attribute} _parameterItems:  List['ParameterInterfaceItem']
21747 @end deffn
21749 @geindex parse() (pyGHDL.dom.Subprogram.Procedure class method)
21750 @anchor{pyGHDL/pyGHDL dom Subprogram pyGHDL dom Subprogram Procedure parse}@anchor{8e4}
21751 @deffn {Method} classmethod  parse (procedureNode)
21753 @*Return type: 
21754 @ref{8cd,,Procedure}
21756 @end deffn
21758 @geindex DeclaredItems (pyGHDL.dom.Subprogram.Procedure property)
21759 @anchor{pyGHDL/pyGHDL dom Subprogram pyGHDL dom Subprogram Procedure DeclaredItems}@anchor{8e5}
21760 @deffn {Property} DeclaredItems:  List
21762 @*Return type: 
21763 List@footnote{https://docs.python.org/3.6/library/typing.html#typing.List}
21765 @end deffn
21767 @geindex GenericItems (pyGHDL.dom.Subprogram.Procedure property)
21768 @anchor{pyGHDL/pyGHDL dom Subprogram pyGHDL dom Subprogram Procedure GenericItems}@anchor{8e6}
21769 @deffn {Property} GenericItems:  List[pyVHDLModel.SyntaxModel.GenericInterfaceItem@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.GenericInterfaceItem}]
21771 @*Return type: 
21772 List@footnote{https://docs.python.org/3.6/library/typing.html#typing.List}[GenericInterfaceItem@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.GenericInterfaceItem}]
21774 @end deffn
21776 @geindex Identifier (pyGHDL.dom.Subprogram.Procedure property)
21777 @anchor{pyGHDL/pyGHDL dom Subprogram pyGHDL dom Subprogram Procedure Identifier}@anchor{8e7}
21778 @deffn {Property} Identifier:  str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
21780 Returns a model entity’s identifier (name).
21782 @*Return type: 
21783 str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
21785 @end deffn
21787 @geindex IsPure (pyGHDL.dom.Subprogram.Procedure property)
21788 @anchor{pyGHDL/pyGHDL dom Subprogram pyGHDL dom Subprogram Procedure IsPure}@anchor{8e8}
21789 @deffn {Property} IsPure:  bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
21791 @*Return type: 
21792 bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
21794 @end deffn
21796 @geindex ParameterItems (pyGHDL.dom.Subprogram.Procedure property)
21797 @anchor{pyGHDL/pyGHDL dom Subprogram pyGHDL dom Subprogram Procedure ParameterItems}@anchor{8e9}
21798 @deffn {Property} ParameterItems:  List[pyVHDLModel.SyntaxModel.ParameterInterfaceItem@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.ParameterInterfaceItem}]
21800 @*Return type: 
21801 List@footnote{https://docs.python.org/3.6/library/typing.html#typing.List}[ParameterInterfaceItem@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.ParameterInterfaceItem}]
21803 @end deffn
21805 @geindex Parent (pyGHDL.dom.Subprogram.Procedure property)
21806 @anchor{pyGHDL/pyGHDL dom Subprogram pyGHDL dom Subprogram Procedure Parent}@anchor{8ea}
21807 @deffn {Property} Parent:  pyVHDLModel.ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
21809 Returns a reference to the parent entity.
21811 @*Return type: 
21812 ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
21814 @end deffn
21816 @geindex Position (pyGHDL.dom.Subprogram.Procedure property)
21817 @anchor{pyGHDL/pyGHDL dom Subprogram pyGHDL dom Subprogram Procedure Position}@anchor{8eb}
21818 @deffn {Property} Position:  @ref{200,,pyGHDL.dom.Position}
21820 @*Return type: 
21821 @ref{200,,Position}
21823 @end deffn
21825 @geindex Statements (pyGHDL.dom.Subprogram.Procedure property)
21826 @anchor{pyGHDL/pyGHDL dom Subprogram pyGHDL dom Subprogram Procedure Statements}@anchor{8ec}
21827 @deffn {Property} Statements:  List[pyVHDLModel.SyntaxModel.SequentialStatement@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.SequentialStatement}]
21829 @*Return type: 
21830 List@footnote{https://docs.python.org/3.6/library/typing.html#typing.List}[SequentialStatement@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.SequentialStatement}]
21832 @end deffn
21834 @geindex _isPure (pyGHDL.dom.Subprogram.Procedure attribute)
21835 @anchor{pyGHDL/pyGHDL dom Subprogram pyGHDL dom Subprogram Procedure _isPure}@anchor{8ed}
21836 @deffn {Attribute} _isPure:  bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}  =  False
21837 @end deffn
21839 @geindex _position (pyGHDL.dom.Subprogram.Procedure attribute)
21840 @anchor{pyGHDL/pyGHDL dom Subprogram pyGHDL dom Subprogram Procedure _position}@anchor{8ee}
21841 @deffn {Attribute} _position:  @ref{200,,Position}  =  None
21842 @end deffn
21844 @geindex _declaredItems (pyGHDL.dom.Subprogram.Procedure attribute)
21845 @anchor{pyGHDL/pyGHDL dom Subprogram pyGHDL dom Subprogram Procedure _declaredItems}@anchor{8ef}
21846 @deffn {Attribute} _declaredItems:  List
21847 @end deffn
21849 @geindex _statements (pyGHDL.dom.Subprogram.Procedure attribute)
21850 @anchor{pyGHDL/pyGHDL dom Subprogram pyGHDL dom Subprogram Procedure _statements}@anchor{8f0}
21851 @deffn {Attribute} _statements:  List['SequentialStatement']
21852 @end deffn
21854 @geindex _parent (pyGHDL.dom.Subprogram.Procedure attribute)
21855 @anchor{pyGHDL/pyGHDL dom Subprogram pyGHDL dom Subprogram Procedure _parent}@anchor{8f1}
21856 @deffn {Attribute} _parent:  ModelEntity
21858 Reference to a parent entity in the model.
21859 @end deffn
21861 @geindex _identifier (pyGHDL.dom.Subprogram.Procedure attribute)
21862 @anchor{pyGHDL/pyGHDL dom Subprogram pyGHDL dom Subprogram Procedure _identifier}@anchor{8f2}
21863 @deffn {Attribute} _identifier:  str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
21865 The identifier of a model entity.
21866 @end deffn
21868 @geindex _iirNode (pyGHDL.dom.Subprogram.Procedure attribute)
21869 @anchor{pyGHDL/pyGHDL dom Subprogram pyGHDL dom Subprogram Procedure _iirNode}@anchor{8f3}
21870 @deffn {Attribute} _iirNode:  Iir
21871 @end deffn
21872 @end deffn
21874 @c # Load pre-defined aliases and graphical characters like © from docutils
21875 @c # <file> is used to denote the special path
21876 @c # <Python>\Lib\site-packages\docutils\parsers\rst\include
21878 @c This data file has been placed in the public domain.
21880 @c Derived from the Unicode character mappings available from
21881 @c <http://www.w3.org/2003/entities/xml/>.
21882 @c Processed by unicode2rstsubs.py, part of Docutils:
21883 @c <http://docutils.sourceforge.net>.
21885 @c This data file has been placed in the public domain.
21887 @c Derived from the Unicode character mappings available from
21888 @c <http://www.w3.org/2003/entities/xml/>.
21889 @c Processed by unicode2rstsubs.py, part of Docutils:
21890 @c <http://docutils.sourceforge.net>.
21892 @c # define a hard line break for HTML
21894 @node pyGHDL dom Symbol,pyGHDL dom Type,pyGHDL dom Subprogram,pyGHDL dom
21895 @anchor{pyGHDL/pyGHDL dom Symbol doc}@anchor{8f4}@anchor{pyGHDL/pyGHDL dom Symbol module-pyGHDL dom Symbol}@anchor{18}@anchor{pyGHDL/pyGHDL dom Symbol pyghdl-dom-symbol}@anchor{8f5}
21896 @subsection pyGHDL.dom.Symbol
21899 @geindex module; pyGHDL.dom.Symbol
21901 @c #-----------------------------------
21903 @strong{Classes}
21906 @itemize -
21908 @item 
21909 @ref{8f6,,EntitySymbol}:
21910 @code{ModelEntity} is the base class for all classes in the VHDL language model,
21912 @item 
21913 @ref{8f7,,SimpleSubtypeSymbol}:
21914 @code{ModelEntity} is the base class for all classes in the VHDL language model,
21916 @item 
21917 @ref{8f8,,ConstrainedScalarSubtypeSymbol}:
21918 @code{ModelEntity} is the base class for all classes in the VHDL language model,
21920 @item 
21921 @ref{8f9,,ConstrainedCompositeSubtypeSymbol}:
21922 @code{ModelEntity} is the base class for all classes in the VHDL language model,
21924 @item 
21925 @ref{8fa,,SimpleObjectOrFunctionCallSymbol}:
21926 @code{ModelEntity} is the base class for all classes in the VHDL language model,
21928 @item 
21929 @ref{8fb,,IndexedObjectOrFunctionCallSymbol}:
21930 @code{ModelEntity} is the base class for all classes in the VHDL language model,
21931 @end itemize
21933 @c #-----------------------------------
21935 @geindex EntitySymbol (class in pyGHDL.dom.Symbol)
21936 @anchor{pyGHDL/pyGHDL dom Symbol pyGHDL dom Symbol EntitySymbol}@anchor{8f6}
21937 @deffn {Class} pyGHDL.dom.Symbol.EntitySymbol (node, entityName)
21939 @subsubheading Inheritance
21941 @image{inheritance-14e3870f111856a8852977e010e25f4398c7451b,,,[graphviz],png}
21943 @subsubheading Members
21946 @geindex Entity (pyGHDL.dom.Symbol.EntitySymbol property)
21947 @anchor{pyGHDL/pyGHDL dom Symbol pyGHDL dom Symbol EntitySymbol Entity}@anchor{8fc}
21948 @deffn {Property} Entity:  pyVHDLModel.SyntaxModel.Entity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Entity}
21950 @*Return type: 
21951 Entity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Entity}
21953 @end deffn
21955 @geindex Parent (pyGHDL.dom.Symbol.EntitySymbol property)
21956 @anchor{pyGHDL/pyGHDL dom Symbol pyGHDL dom Symbol EntitySymbol Parent}@anchor{8fd}
21957 @deffn {Property} Parent:  pyVHDLModel.ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
21959 Returns a reference to the parent entity.
21961 @*Return type: 
21962 ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
21964 @end deffn
21966 @geindex Position (pyGHDL.dom.Symbol.EntitySymbol property)
21967 @anchor{pyGHDL/pyGHDL dom Symbol pyGHDL dom Symbol EntitySymbol Position}@anchor{8fe}
21968 @deffn {Property} Position:  @ref{200,,pyGHDL.dom.Position}
21970 @*Return type: 
21971 @ref{200,,Position}
21973 @end deffn
21975 @geindex Reference (pyGHDL.dom.Symbol.EntitySymbol property)
21976 @anchor{pyGHDL/pyGHDL dom Symbol pyGHDL dom Symbol EntitySymbol Reference}@anchor{8ff}
21977 @deffn {Property} Reference:  Any
21979 @*Return type: 
21980 Any@footnote{https://docs.python.org/3.6/library/typing.html#typing.Any}
21982 @end deffn
21984 @geindex SymbolName (pyGHDL.dom.Symbol.EntitySymbol property)
21985 @anchor{pyGHDL/pyGHDL dom Symbol pyGHDL dom Symbol EntitySymbol SymbolName}@anchor{900}
21986 @deffn {Property} SymbolName:  pyVHDLModel.SyntaxModel.Name@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Name}
21988 @*Return type: 
21989 Name@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Name}
21991 @end deffn
21993 @geindex _position (pyGHDL.dom.Symbol.EntitySymbol attribute)
21994 @anchor{pyGHDL/pyGHDL dom Symbol pyGHDL dom Symbol EntitySymbol _position}@anchor{901}
21995 @deffn {Attribute} _position:  @ref{200,,Position}  =  None
21996 @end deffn
21998 @geindex _reference (pyGHDL.dom.Symbol.EntitySymbol attribute)
21999 @anchor{pyGHDL/pyGHDL dom Symbol pyGHDL dom Symbol EntitySymbol _reference}@anchor{902}
22000 @deffn {Attribute} _reference:  Any  =  None
22001 @end deffn
22003 @geindex _symbolName (pyGHDL.dom.Symbol.EntitySymbol attribute)
22004 @anchor{pyGHDL/pyGHDL dom Symbol pyGHDL dom Symbol EntitySymbol _symbolName}@anchor{903}
22005 @deffn {Attribute} _symbolName:  pyVHDLModel.SyntaxModel.Name@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Name}
22006 @end deffn
22008 @geindex _possibleReferences (pyGHDL.dom.Symbol.EntitySymbol attribute)
22009 @anchor{pyGHDL/pyGHDL dom Symbol pyGHDL dom Symbol EntitySymbol _possibleReferences}@anchor{904}
22010 @deffn {Attribute} _possibleReferences:  pyVHDLModel.PossibleReference@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.PossibleReference}
22011 @end deffn
22013 @geindex _parent (pyGHDL.dom.Symbol.EntitySymbol attribute)
22014 @anchor{pyGHDL/pyGHDL dom Symbol pyGHDL dom Symbol EntitySymbol _parent}@anchor{905}
22015 @deffn {Attribute} _parent:  ModelEntity
22017 Reference to a parent entity in the model.
22018 @end deffn
22020 @geindex _iirNode (pyGHDL.dom.Symbol.EntitySymbol attribute)
22021 @anchor{pyGHDL/pyGHDL dom Symbol pyGHDL dom Symbol EntitySymbol _iirNode}@anchor{906}
22022 @deffn {Attribute} _iirNode:  Iir
22023 @end deffn
22024 @end deffn
22026 @geindex SimpleSubtypeSymbol (class in pyGHDL.dom.Symbol)
22027 @anchor{pyGHDL/pyGHDL dom Symbol pyGHDL dom Symbol SimpleSubtypeSymbol}@anchor{8f7}
22028 @deffn {Class} pyGHDL.dom.Symbol.SimpleSubtypeSymbol (node, subtypeName)
22030 @subsubheading Inheritance
22032 @image{inheritance-2953ed71a17dba267daee6fa3c754a0c1a01338e,,,[graphviz],png}
22034 @subsubheading Members
22037 @geindex Parent (pyGHDL.dom.Symbol.SimpleSubtypeSymbol property)
22038 @anchor{pyGHDL/pyGHDL dom Symbol pyGHDL dom Symbol SimpleSubtypeSymbol Parent}@anchor{907}
22039 @deffn {Property} Parent:  pyVHDLModel.ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
22041 Returns a reference to the parent entity.
22043 @*Return type: 
22044 ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
22046 @end deffn
22048 @geindex Position (pyGHDL.dom.Symbol.SimpleSubtypeSymbol property)
22049 @anchor{pyGHDL/pyGHDL dom Symbol pyGHDL dom Symbol SimpleSubtypeSymbol Position}@anchor{908}
22050 @deffn {Property} Position:  @ref{200,,pyGHDL.dom.Position}
22052 @*Return type: 
22053 @ref{200,,Position}
22055 @end deffn
22057 @geindex Reference (pyGHDL.dom.Symbol.SimpleSubtypeSymbol property)
22058 @anchor{pyGHDL/pyGHDL dom Symbol pyGHDL dom Symbol SimpleSubtypeSymbol Reference}@anchor{909}
22059 @deffn {Property} Reference:  Any
22061 @*Return type: 
22062 Any@footnote{https://docs.python.org/3.6/library/typing.html#typing.Any}
22064 @end deffn
22066 @geindex Subtype (pyGHDL.dom.Symbol.SimpleSubtypeSymbol property)
22067 @anchor{pyGHDL/pyGHDL dom Symbol pyGHDL dom Symbol SimpleSubtypeSymbol Subtype}@anchor{90a}
22068 @deffn {Property} Subtype:  pyVHDLModel.SyntaxModel.Subtype@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Subtype}
22070 @*Return type: 
22071 Subtype@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Subtype}
22073 @end deffn
22075 @geindex SymbolName (pyGHDL.dom.Symbol.SimpleSubtypeSymbol property)
22076 @anchor{pyGHDL/pyGHDL dom Symbol pyGHDL dom Symbol SimpleSubtypeSymbol SymbolName}@anchor{90b}
22077 @deffn {Property} SymbolName:  pyVHDLModel.SyntaxModel.Name@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Name}
22079 @*Return type: 
22080 Name@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Name}
22082 @end deffn
22084 @geindex _position (pyGHDL.dom.Symbol.SimpleSubtypeSymbol attribute)
22085 @anchor{pyGHDL/pyGHDL dom Symbol pyGHDL dom Symbol SimpleSubtypeSymbol _position}@anchor{90c}
22086 @deffn {Attribute} _position:  @ref{200,,Position}  =  None
22087 @end deffn
22089 @geindex _reference (pyGHDL.dom.Symbol.SimpleSubtypeSymbol attribute)
22090 @anchor{pyGHDL/pyGHDL dom Symbol pyGHDL dom Symbol SimpleSubtypeSymbol _reference}@anchor{90d}
22091 @deffn {Attribute} _reference:  Any  =  None
22092 @end deffn
22094 @geindex _symbolName (pyGHDL.dom.Symbol.SimpleSubtypeSymbol attribute)
22095 @anchor{pyGHDL/pyGHDL dom Symbol pyGHDL dom Symbol SimpleSubtypeSymbol _symbolName}@anchor{90e}
22096 @deffn {Attribute} _symbolName:  pyVHDLModel.SyntaxModel.Name@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Name}
22097 @end deffn
22099 @geindex _possibleReferences (pyGHDL.dom.Symbol.SimpleSubtypeSymbol attribute)
22100 @anchor{pyGHDL/pyGHDL dom Symbol pyGHDL dom Symbol SimpleSubtypeSymbol _possibleReferences}@anchor{90f}
22101 @deffn {Attribute} _possibleReferences:  pyVHDLModel.PossibleReference@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.PossibleReference}
22102 @end deffn
22104 @geindex _parent (pyGHDL.dom.Symbol.SimpleSubtypeSymbol attribute)
22105 @anchor{pyGHDL/pyGHDL dom Symbol pyGHDL dom Symbol SimpleSubtypeSymbol _parent}@anchor{910}
22106 @deffn {Attribute} _parent:  ModelEntity
22108 Reference to a parent entity in the model.
22109 @end deffn
22111 @geindex _iirNode (pyGHDL.dom.Symbol.SimpleSubtypeSymbol attribute)
22112 @anchor{pyGHDL/pyGHDL dom Symbol pyGHDL dom Symbol SimpleSubtypeSymbol _iirNode}@anchor{911}
22113 @deffn {Attribute} _iirNode:  Iir
22114 @end deffn
22115 @end deffn
22117 @geindex ConstrainedScalarSubtypeSymbol (class in pyGHDL.dom.Symbol)
22118 @anchor{pyGHDL/pyGHDL dom Symbol pyGHDL dom Symbol ConstrainedScalarSubtypeSymbol}@anchor{8f8}
22119 @deffn {Class} pyGHDL.dom.Symbol.ConstrainedScalarSubtypeSymbol (node, subtypeName, rng=None)
22121 @subsubheading Inheritance
22123 @image{inheritance-0e718d7f791eda519239e31a42e7bb5720d99045,,,[graphviz],png}
22125 @subsubheading Members
22128 @geindex parse() (pyGHDL.dom.Symbol.ConstrainedScalarSubtypeSymbol class method)
22129 @anchor{pyGHDL/pyGHDL dom Symbol pyGHDL dom Symbol ConstrainedScalarSubtypeSymbol parse}@anchor{912}
22130 @deffn {Method} classmethod  parse (node)
22131 @end deffn
22133 @geindex Parent (pyGHDL.dom.Symbol.ConstrainedScalarSubtypeSymbol property)
22134 @anchor{pyGHDL/pyGHDL dom Symbol pyGHDL dom Symbol ConstrainedScalarSubtypeSymbol Parent}@anchor{913}
22135 @deffn {Property} Parent:  pyVHDLModel.ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
22137 Returns a reference to the parent entity.
22139 @*Return type: 
22140 ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
22142 @end deffn
22144 @geindex Position (pyGHDL.dom.Symbol.ConstrainedScalarSubtypeSymbol property)
22145 @anchor{pyGHDL/pyGHDL dom Symbol pyGHDL dom Symbol ConstrainedScalarSubtypeSymbol Position}@anchor{914}
22146 @deffn {Property} Position:  @ref{200,,pyGHDL.dom.Position}
22148 @*Return type: 
22149 @ref{200,,Position}
22151 @end deffn
22153 @geindex Range (pyGHDL.dom.Symbol.ConstrainedScalarSubtypeSymbol property)
22154 @anchor{pyGHDL/pyGHDL dom Symbol pyGHDL dom Symbol ConstrainedScalarSubtypeSymbol Range}@anchor{915}
22155 @deffn {Property} Range:  pyVHDLModel.SyntaxModel.Range@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Range}
22157 @*Return type: 
22158 Range@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Range}
22160 @end deffn
22162 @geindex Reference (pyGHDL.dom.Symbol.ConstrainedScalarSubtypeSymbol property)
22163 @anchor{pyGHDL/pyGHDL dom Symbol pyGHDL dom Symbol ConstrainedScalarSubtypeSymbol Reference}@anchor{916}
22164 @deffn {Property} Reference:  Any
22166 @*Return type: 
22167 Any@footnote{https://docs.python.org/3.6/library/typing.html#typing.Any}
22169 @end deffn
22171 @geindex Subtype (pyGHDL.dom.Symbol.ConstrainedScalarSubtypeSymbol property)
22172 @anchor{pyGHDL/pyGHDL dom Symbol pyGHDL dom Symbol ConstrainedScalarSubtypeSymbol Subtype}@anchor{917}
22173 @deffn {Property} Subtype:  pyVHDLModel.SyntaxModel.Subtype@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Subtype}
22175 @*Return type: 
22176 Subtype@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Subtype}
22178 @end deffn
22180 @geindex SymbolName (pyGHDL.dom.Symbol.ConstrainedScalarSubtypeSymbol property)
22181 @anchor{pyGHDL/pyGHDL dom Symbol pyGHDL dom Symbol ConstrainedScalarSubtypeSymbol SymbolName}@anchor{918}
22182 @deffn {Property} SymbolName:  pyVHDLModel.SyntaxModel.Name@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Name}
22184 @*Return type: 
22185 Name@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Name}
22187 @end deffn
22189 @geindex _position (pyGHDL.dom.Symbol.ConstrainedScalarSubtypeSymbol attribute)
22190 @anchor{pyGHDL/pyGHDL dom Symbol pyGHDL dom Symbol ConstrainedScalarSubtypeSymbol _position}@anchor{919}
22191 @deffn {Attribute} _position:  @ref{200,,Position}  =  None
22192 @end deffn
22194 @geindex _reference (pyGHDL.dom.Symbol.ConstrainedScalarSubtypeSymbol attribute)
22195 @anchor{pyGHDL/pyGHDL dom Symbol pyGHDL dom Symbol ConstrainedScalarSubtypeSymbol _reference}@anchor{91a}
22196 @deffn {Attribute} _reference:  Any  =  None
22197 @end deffn
22199 @geindex _range (pyGHDL.dom.Symbol.ConstrainedScalarSubtypeSymbol attribute)
22200 @anchor{pyGHDL/pyGHDL dom Symbol pyGHDL dom Symbol ConstrainedScalarSubtypeSymbol _range}@anchor{91b}
22201 @deffn {Attribute} _range:  @ref{21a,,Range}
22202 @end deffn
22204 @geindex _symbolName (pyGHDL.dom.Symbol.ConstrainedScalarSubtypeSymbol attribute)
22205 @anchor{pyGHDL/pyGHDL dom Symbol pyGHDL dom Symbol ConstrainedScalarSubtypeSymbol _symbolName}@anchor{91c}
22206 @deffn {Attribute} _symbolName:  @ref{354,,Name}
22207 @end deffn
22209 @geindex _possibleReferences (pyGHDL.dom.Symbol.ConstrainedScalarSubtypeSymbol attribute)
22210 @anchor{pyGHDL/pyGHDL dom Symbol pyGHDL dom Symbol ConstrainedScalarSubtypeSymbol _possibleReferences}@anchor{91d}
22211 @deffn {Attribute} _possibleReferences:  PossibleReference
22212 @end deffn
22214 @geindex _parent (pyGHDL.dom.Symbol.ConstrainedScalarSubtypeSymbol attribute)
22215 @anchor{pyGHDL/pyGHDL dom Symbol pyGHDL dom Symbol ConstrainedScalarSubtypeSymbol _parent}@anchor{91e}
22216 @deffn {Attribute} _parent:  ModelEntity
22218 Reference to a parent entity in the model.
22219 @end deffn
22221 @geindex _iirNode (pyGHDL.dom.Symbol.ConstrainedScalarSubtypeSymbol attribute)
22222 @anchor{pyGHDL/pyGHDL dom Symbol pyGHDL dom Symbol ConstrainedScalarSubtypeSymbol _iirNode}@anchor{91f}
22223 @deffn {Attribute} _iirNode:  Iir
22224 @end deffn
22225 @end deffn
22227 @geindex ConstrainedCompositeSubtypeSymbol (class in pyGHDL.dom.Symbol)
22228 @anchor{pyGHDL/pyGHDL dom Symbol pyGHDL dom Symbol ConstrainedCompositeSubtypeSymbol}@anchor{8f9}
22229 @deffn {Class} pyGHDL.dom.Symbol.ConstrainedCompositeSubtypeSymbol (node, subtypeName, constraints=None)
22231 @subsubheading Inheritance
22233 @image{inheritance-347c5f71d1d2a44b3b73d3ec6b1dbe05dcf3ab9e,,,[graphviz],png}
22235 @subsubheading Members
22238 @geindex parse() (pyGHDL.dom.Symbol.ConstrainedCompositeSubtypeSymbol class method)
22239 @anchor{pyGHDL/pyGHDL dom Symbol pyGHDL dom Symbol ConstrainedCompositeSubtypeSymbol parse}@anchor{920}
22240 @deffn {Method} classmethod  parse (node)
22241 @end deffn
22243 @geindex Constraints (pyGHDL.dom.Symbol.ConstrainedCompositeSubtypeSymbol property)
22244 @anchor{pyGHDL/pyGHDL dom Symbol pyGHDL dom Symbol ConstrainedCompositeSubtypeSymbol Constraints}@anchor{921}
22245 @deffn {Property} Constraints:  List[Union[pyVHDLModel.SyntaxModel.RangeExpression@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.RangeExpression},  pyVHDLModel.SyntaxModel.RangeAttribute@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.RangeAttribute},  pyVHDLModel.SyntaxModel.RangeSubtype@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.RangeSubtype}]]
22247 @*Return type: 
22248 List@footnote{https://docs.python.org/3.6/library/typing.html#typing.List}[Union@footnote{https://docs.python.org/3.6/library/typing.html#typing.Union}[RangeExpression@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.RangeExpression}, RangeAttribute@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.RangeAttribute}, RangeSubtype@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.RangeSubtype}]]
22250 @end deffn
22252 @geindex Parent (pyGHDL.dom.Symbol.ConstrainedCompositeSubtypeSymbol property)
22253 @anchor{pyGHDL/pyGHDL dom Symbol pyGHDL dom Symbol ConstrainedCompositeSubtypeSymbol Parent}@anchor{922}
22254 @deffn {Property} Parent:  pyVHDLModel.ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
22256 Returns a reference to the parent entity.
22258 @*Return type: 
22259 ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
22261 @end deffn
22263 @geindex Position (pyGHDL.dom.Symbol.ConstrainedCompositeSubtypeSymbol property)
22264 @anchor{pyGHDL/pyGHDL dom Symbol pyGHDL dom Symbol ConstrainedCompositeSubtypeSymbol Position}@anchor{923}
22265 @deffn {Property} Position:  @ref{200,,pyGHDL.dom.Position}
22267 @*Return type: 
22268 @ref{200,,Position}
22270 @end deffn
22272 @geindex Reference (pyGHDL.dom.Symbol.ConstrainedCompositeSubtypeSymbol property)
22273 @anchor{pyGHDL/pyGHDL dom Symbol pyGHDL dom Symbol ConstrainedCompositeSubtypeSymbol Reference}@anchor{924}
22274 @deffn {Property} Reference:  Any
22276 @*Return type: 
22277 Any@footnote{https://docs.python.org/3.6/library/typing.html#typing.Any}
22279 @end deffn
22281 @geindex Subtype (pyGHDL.dom.Symbol.ConstrainedCompositeSubtypeSymbol property)
22282 @anchor{pyGHDL/pyGHDL dom Symbol pyGHDL dom Symbol ConstrainedCompositeSubtypeSymbol Subtype}@anchor{925}
22283 @deffn {Property} Subtype:  pyVHDLModel.SyntaxModel.Subtype@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Subtype}
22285 @*Return type: 
22286 Subtype@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Subtype}
22288 @end deffn
22290 @geindex SymbolName (pyGHDL.dom.Symbol.ConstrainedCompositeSubtypeSymbol property)
22291 @anchor{pyGHDL/pyGHDL dom Symbol pyGHDL dom Symbol ConstrainedCompositeSubtypeSymbol SymbolName}@anchor{926}
22292 @deffn {Property} SymbolName:  pyVHDLModel.SyntaxModel.Name@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Name}
22294 @*Return type: 
22295 Name@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Name}
22297 @end deffn
22299 @geindex _position (pyGHDL.dom.Symbol.ConstrainedCompositeSubtypeSymbol attribute)
22300 @anchor{pyGHDL/pyGHDL dom Symbol pyGHDL dom Symbol ConstrainedCompositeSubtypeSymbol _position}@anchor{927}
22301 @deffn {Attribute} _position:  @ref{200,,Position}  =  None
22302 @end deffn
22304 @geindex _reference (pyGHDL.dom.Symbol.ConstrainedCompositeSubtypeSymbol attribute)
22305 @anchor{pyGHDL/pyGHDL dom Symbol pyGHDL dom Symbol ConstrainedCompositeSubtypeSymbol _reference}@anchor{928}
22306 @deffn {Attribute} _reference:  Any  =  None
22307 @end deffn
22309 @geindex _constraints (pyGHDL.dom.Symbol.ConstrainedCompositeSubtypeSymbol attribute)
22310 @anchor{pyGHDL/pyGHDL dom Symbol pyGHDL dom Symbol ConstrainedCompositeSubtypeSymbol _constraints}@anchor{929}
22311 @deffn {Attribute} _constraints:  List[Union[RangeExpression,  RangeAttribute,  RangeSubtype]]
22312 @end deffn
22314 @geindex _symbolName (pyGHDL.dom.Symbol.ConstrainedCompositeSubtypeSymbol attribute)
22315 @anchor{pyGHDL/pyGHDL dom Symbol pyGHDL dom Symbol ConstrainedCompositeSubtypeSymbol _symbolName}@anchor{92a}
22316 @deffn {Attribute} _symbolName:  @ref{354,,Name}
22317 @end deffn
22319 @geindex _possibleReferences (pyGHDL.dom.Symbol.ConstrainedCompositeSubtypeSymbol attribute)
22320 @anchor{pyGHDL/pyGHDL dom Symbol pyGHDL dom Symbol ConstrainedCompositeSubtypeSymbol _possibleReferences}@anchor{92b}
22321 @deffn {Attribute} _possibleReferences:  PossibleReference
22322 @end deffn
22324 @geindex _parent (pyGHDL.dom.Symbol.ConstrainedCompositeSubtypeSymbol attribute)
22325 @anchor{pyGHDL/pyGHDL dom Symbol pyGHDL dom Symbol ConstrainedCompositeSubtypeSymbol _parent}@anchor{92c}
22326 @deffn {Attribute} _parent:  ModelEntity
22328 Reference to a parent entity in the model.
22329 @end deffn
22331 @geindex _iirNode (pyGHDL.dom.Symbol.ConstrainedCompositeSubtypeSymbol attribute)
22332 @anchor{pyGHDL/pyGHDL dom Symbol pyGHDL dom Symbol ConstrainedCompositeSubtypeSymbol _iirNode}@anchor{92d}
22333 @deffn {Attribute} _iirNode:  Iir
22334 @end deffn
22335 @end deffn
22337 @geindex SimpleObjectOrFunctionCallSymbol (class in pyGHDL.dom.Symbol)
22338 @anchor{pyGHDL/pyGHDL dom Symbol pyGHDL dom Symbol SimpleObjectOrFunctionCallSymbol}@anchor{8fa}
22339 @deffn {Class} pyGHDL.dom.Symbol.SimpleObjectOrFunctionCallSymbol (objectName)
22341 @subsubheading Inheritance
22343 @image{inheritance-fd513336ec65b9b3968ac2bb31f267f78488c4f1,,,[graphviz],png}
22345 @subsubheading Members
22348 @geindex parse() (pyGHDL.dom.Symbol.SimpleObjectOrFunctionCallSymbol class method)
22349 @anchor{pyGHDL/pyGHDL dom Symbol pyGHDL dom Symbol SimpleObjectOrFunctionCallSymbol parse}@anchor{92e}
22350 @deffn {Method} classmethod  parse (node)
22351 @end deffn
22353 @geindex ObjectOrFunction (pyGHDL.dom.Symbol.SimpleObjectOrFunctionCallSymbol property)
22354 @anchor{pyGHDL/pyGHDL dom Symbol pyGHDL dom Symbol SimpleObjectOrFunctionCallSymbol ObjectOrFunction}@anchor{92f}
22355 @deffn {Property} ObjectOrFunction:  Union[pyVHDLModel.SyntaxModel.Constant@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Constant},  pyVHDLModel.SyntaxModel.Signal@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Signal},  pyVHDLModel.SyntaxModel.Variable@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Variable},  pyVHDLModel.SyntaxModel.Function@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Function},  pyVHDLModel.SyntaxModel.EnumerationLiteral@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.EnumerationLiteral}]
22357 @*Return type: 
22358 Union@footnote{https://docs.python.org/3.6/library/typing.html#typing.Union}[Constant@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Constant}, Signal@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Signal}, Variable@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Variable}, Function@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Function}, EnumerationLiteral@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.EnumerationLiteral}]
22360 @end deffn
22362 @geindex Parent (pyGHDL.dom.Symbol.SimpleObjectOrFunctionCallSymbol property)
22363 @anchor{pyGHDL/pyGHDL dom Symbol pyGHDL dom Symbol SimpleObjectOrFunctionCallSymbol Parent}@anchor{930}
22364 @deffn {Property} Parent:  pyVHDLModel.ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
22366 Returns a reference to the parent entity.
22368 @*Return type: 
22369 ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
22371 @end deffn
22373 @geindex Position (pyGHDL.dom.Symbol.SimpleObjectOrFunctionCallSymbol property)
22374 @anchor{pyGHDL/pyGHDL dom Symbol pyGHDL dom Symbol SimpleObjectOrFunctionCallSymbol Position}@anchor{931}
22375 @deffn {Property} Position:  @ref{200,,pyGHDL.dom.Position}
22377 @*Return type: 
22378 @ref{200,,Position}
22380 @end deffn
22382 @geindex Reference (pyGHDL.dom.Symbol.SimpleObjectOrFunctionCallSymbol property)
22383 @anchor{pyGHDL/pyGHDL dom Symbol pyGHDL dom Symbol SimpleObjectOrFunctionCallSymbol Reference}@anchor{932}
22384 @deffn {Property} Reference:  Any
22386 @*Return type: 
22387 Any@footnote{https://docs.python.org/3.6/library/typing.html#typing.Any}
22389 @end deffn
22391 @geindex SymbolName (pyGHDL.dom.Symbol.SimpleObjectOrFunctionCallSymbol property)
22392 @anchor{pyGHDL/pyGHDL dom Symbol pyGHDL dom Symbol SimpleObjectOrFunctionCallSymbol SymbolName}@anchor{933}
22393 @deffn {Property} SymbolName:  pyVHDLModel.SyntaxModel.Name@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Name}
22395 @*Return type: 
22396 Name@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Name}
22398 @end deffn
22400 @geindex _position (pyGHDL.dom.Symbol.SimpleObjectOrFunctionCallSymbol attribute)
22401 @anchor{pyGHDL/pyGHDL dom Symbol pyGHDL dom Symbol SimpleObjectOrFunctionCallSymbol _position}@anchor{934}
22402 @deffn {Attribute} _position:  @ref{200,,Position}  =  None
22403 @end deffn
22405 @geindex _reference (pyGHDL.dom.Symbol.SimpleObjectOrFunctionCallSymbol attribute)
22406 @anchor{pyGHDL/pyGHDL dom Symbol pyGHDL dom Symbol SimpleObjectOrFunctionCallSymbol _reference}@anchor{935}
22407 @deffn {Attribute} _reference:  Any  =  None
22408 @end deffn
22410 @geindex _symbolName (pyGHDL.dom.Symbol.SimpleObjectOrFunctionCallSymbol attribute)
22411 @anchor{pyGHDL/pyGHDL dom Symbol pyGHDL dom Symbol SimpleObjectOrFunctionCallSymbol _symbolName}@anchor{936}
22412 @deffn {Attribute} _symbolName:  pyVHDLModel.SyntaxModel.Name@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Name}
22413 @end deffn
22415 @geindex _possibleReferences (pyGHDL.dom.Symbol.SimpleObjectOrFunctionCallSymbol attribute)
22416 @anchor{pyGHDL/pyGHDL dom Symbol pyGHDL dom Symbol SimpleObjectOrFunctionCallSymbol _possibleReferences}@anchor{937}
22417 @deffn {Attribute} _possibleReferences:  pyVHDLModel.PossibleReference@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.PossibleReference}
22418 @end deffn
22420 @geindex _parent (pyGHDL.dom.Symbol.SimpleObjectOrFunctionCallSymbol attribute)
22421 @anchor{pyGHDL/pyGHDL dom Symbol pyGHDL dom Symbol SimpleObjectOrFunctionCallSymbol _parent}@anchor{938}
22422 @deffn {Attribute} _parent:  ModelEntity
22424 Reference to a parent entity in the model.
22425 @end deffn
22427 @geindex _iirNode (pyGHDL.dom.Symbol.SimpleObjectOrFunctionCallSymbol attribute)
22428 @anchor{pyGHDL/pyGHDL dom Symbol pyGHDL dom Symbol SimpleObjectOrFunctionCallSymbol _iirNode}@anchor{939}
22429 @deffn {Attribute} _iirNode:  Iir
22430 @end deffn
22431 @end deffn
22433 @geindex IndexedObjectOrFunctionCallSymbol (class in pyGHDL.dom.Symbol)
22434 @anchor{pyGHDL/pyGHDL dom Symbol pyGHDL dom Symbol IndexedObjectOrFunctionCallSymbol}@anchor{8fb}
22435 @deffn {Class} pyGHDL.dom.Symbol.IndexedObjectOrFunctionCallSymbol (node, name)
22437 @subsubheading Inheritance
22439 @image{inheritance-0c62c19b6c948ba3f2963815f387e2b0f70fcbfa,,,[graphviz],png}
22441 @subsubheading Members
22444 @geindex parse() (pyGHDL.dom.Symbol.IndexedObjectOrFunctionCallSymbol class method)
22445 @anchor{pyGHDL/pyGHDL dom Symbol pyGHDL dom Symbol IndexedObjectOrFunctionCallSymbol parse}@anchor{93a}
22446 @deffn {Method} classmethod  parse (node)
22447 @end deffn
22449 @geindex ObjectOrFunction (pyGHDL.dom.Symbol.IndexedObjectOrFunctionCallSymbol property)
22450 @anchor{pyGHDL/pyGHDL dom Symbol pyGHDL dom Symbol IndexedObjectOrFunctionCallSymbol ObjectOrFunction}@anchor{93b}
22451 @deffn {Property} ObjectOrFunction:  Union[pyVHDLModel.SyntaxModel.Constant@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Constant},  pyVHDLModel.SyntaxModel.Signal@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Signal},  pyVHDLModel.SyntaxModel.Variable@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Variable},  pyVHDLModel.SyntaxModel.Function@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Function}]
22453 @*Return type: 
22454 Union@footnote{https://docs.python.org/3.6/library/typing.html#typing.Union}[Constant@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Constant}, Signal@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Signal}, Variable@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Variable}, Function@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Function}]
22456 @end deffn
22458 @geindex Parent (pyGHDL.dom.Symbol.IndexedObjectOrFunctionCallSymbol property)
22459 @anchor{pyGHDL/pyGHDL dom Symbol pyGHDL dom Symbol IndexedObjectOrFunctionCallSymbol Parent}@anchor{93c}
22460 @deffn {Property} Parent:  pyVHDLModel.ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
22462 Returns a reference to the parent entity.
22464 @*Return type: 
22465 ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
22467 @end deffn
22469 @geindex Position (pyGHDL.dom.Symbol.IndexedObjectOrFunctionCallSymbol property)
22470 @anchor{pyGHDL/pyGHDL dom Symbol pyGHDL dom Symbol IndexedObjectOrFunctionCallSymbol Position}@anchor{93d}
22471 @deffn {Property} Position:  @ref{200,,pyGHDL.dom.Position}
22473 @*Return type: 
22474 @ref{200,,Position}
22476 @end deffn
22478 @geindex Reference (pyGHDL.dom.Symbol.IndexedObjectOrFunctionCallSymbol property)
22479 @anchor{pyGHDL/pyGHDL dom Symbol pyGHDL dom Symbol IndexedObjectOrFunctionCallSymbol Reference}@anchor{93e}
22480 @deffn {Property} Reference:  Any
22482 @*Return type: 
22483 Any@footnote{https://docs.python.org/3.6/library/typing.html#typing.Any}
22485 @end deffn
22487 @geindex SymbolName (pyGHDL.dom.Symbol.IndexedObjectOrFunctionCallSymbol property)
22488 @anchor{pyGHDL/pyGHDL dom Symbol pyGHDL dom Symbol IndexedObjectOrFunctionCallSymbol SymbolName}@anchor{93f}
22489 @deffn {Property} SymbolName:  pyVHDLModel.SyntaxModel.Name@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Name}
22491 @*Return type: 
22492 Name@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Name}
22494 @end deffn
22496 @geindex _position (pyGHDL.dom.Symbol.IndexedObjectOrFunctionCallSymbol attribute)
22497 @anchor{pyGHDL/pyGHDL dom Symbol pyGHDL dom Symbol IndexedObjectOrFunctionCallSymbol _position}@anchor{940}
22498 @deffn {Attribute} _position:  @ref{200,,Position}  =  None
22499 @end deffn
22501 @geindex _reference (pyGHDL.dom.Symbol.IndexedObjectOrFunctionCallSymbol attribute)
22502 @anchor{pyGHDL/pyGHDL dom Symbol pyGHDL dom Symbol IndexedObjectOrFunctionCallSymbol _reference}@anchor{941}
22503 @deffn {Attribute} _reference:  Any  =  None
22504 @end deffn
22506 @geindex _symbolName (pyGHDL.dom.Symbol.IndexedObjectOrFunctionCallSymbol attribute)
22507 @anchor{pyGHDL/pyGHDL dom Symbol pyGHDL dom Symbol IndexedObjectOrFunctionCallSymbol _symbolName}@anchor{942}
22508 @deffn {Attribute} _symbolName:  pyVHDLModel.SyntaxModel.Name@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Name}
22509 @end deffn
22511 @geindex _possibleReferences (pyGHDL.dom.Symbol.IndexedObjectOrFunctionCallSymbol attribute)
22512 @anchor{pyGHDL/pyGHDL dom Symbol pyGHDL dom Symbol IndexedObjectOrFunctionCallSymbol _possibleReferences}@anchor{943}
22513 @deffn {Attribute} _possibleReferences:  pyVHDLModel.PossibleReference@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.PossibleReference}
22514 @end deffn
22516 @geindex _parent (pyGHDL.dom.Symbol.IndexedObjectOrFunctionCallSymbol attribute)
22517 @anchor{pyGHDL/pyGHDL dom Symbol pyGHDL dom Symbol IndexedObjectOrFunctionCallSymbol _parent}@anchor{944}
22518 @deffn {Attribute} _parent:  ModelEntity
22520 Reference to a parent entity in the model.
22521 @end deffn
22523 @geindex _iirNode (pyGHDL.dom.Symbol.IndexedObjectOrFunctionCallSymbol attribute)
22524 @anchor{pyGHDL/pyGHDL dom Symbol pyGHDL dom Symbol IndexedObjectOrFunctionCallSymbol _iirNode}@anchor{945}
22525 @deffn {Attribute} _iirNode:  Iir
22526 @end deffn
22527 @end deffn
22529 @c # Load pre-defined aliases and graphical characters like © from docutils
22530 @c # <file> is used to denote the special path
22531 @c # <Python>\Lib\site-packages\docutils\parsers\rst\include
22533 @c This data file has been placed in the public domain.
22535 @c Derived from the Unicode character mappings available from
22536 @c <http://www.w3.org/2003/entities/xml/>.
22537 @c Processed by unicode2rstsubs.py, part of Docutils:
22538 @c <http://docutils.sourceforge.net>.
22540 @c This data file has been placed in the public domain.
22542 @c Derived from the Unicode character mappings available from
22543 @c <http://www.w3.org/2003/entities/xml/>.
22544 @c Processed by unicode2rstsubs.py, part of Docutils:
22545 @c <http://docutils.sourceforge.net>.
22547 @c # define a hard line break for HTML
22549 @node pyGHDL dom Type,pyGHDL dom _Translate,pyGHDL dom Symbol,pyGHDL dom
22550 @anchor{pyGHDL/pyGHDL dom Type doc}@anchor{946}@anchor{pyGHDL/pyGHDL dom Type module-pyGHDL dom Type}@anchor{19}@anchor{pyGHDL/pyGHDL dom Type pyghdl-dom-type}@anchor{947}
22551 @subsection pyGHDL.dom.Type
22554 @geindex module; pyGHDL.dom.Type
22556 @c #-----------------------------------
22558 @strong{Classes}
22561 @itemize -
22563 @item 
22564 @ref{948,,IncompleteType}:
22565 @code{BaseType} is the base class of all type entities in this model.
22567 @item 
22568 @ref{949,,EnumeratedType}:
22569 A @code{ScalarType} is a base-class for all scalar types.
22571 @item 
22572 @ref{94a,,IntegerType}:
22573 A @code{RangedScalarType} is a base-class for all scalar types with a range.
22575 @item 
22576 @ref{94b,,PhysicalType}:
22577 A @code{RangedScalarType} is a base-class for all scalar types with a range.
22579 @item 
22580 @ref{94c,,ArrayType}:
22581 A @code{CompositeType} is a base-class for all composite types.
22583 @item 
22584 @ref{94d,,RecordTypeElement}:
22585 @code{ModelEntity} is the base class for all classes in the VHDL language model,
22587 @item 
22588 @ref{94e,,RecordType}:
22589 A @code{CompositeType} is a base-class for all composite types.
22591 @item 
22592 @ref{94f,,ProtectedType}:
22593 @code{BaseType} is the base class of all type entities in this model.
22595 @item 
22596 @ref{950,,ProtectedTypeBody}:
22597 @code{BaseType} is the base class of all type entities in this model.
22599 @item 
22600 @ref{951,,AccessType}:
22601 @code{BaseType} is the base class of all type entities in this model.
22603 @item 
22604 @ref{952,,FileType}:
22605 @code{BaseType} is the base class of all type entities in this model.
22607 @item 
22608 @ref{239,,Subtype}:
22609 @code{BaseType} is the base class of all type entities in this model.
22610 @end itemize
22612 @c #-----------------------------------
22614 @geindex IncompleteType (class in pyGHDL.dom.Type)
22615 @anchor{pyGHDL/pyGHDL dom Type pyGHDL dom Type IncompleteType}@anchor{948}
22616 @deffn {Class} pyGHDL.dom.Type.IncompleteType (node, identifier)
22618 @subsubheading Inheritance
22620 @image{inheritance-500e9dc48467a7eebccdf7e2e787048ef162e4ab,,,[graphviz],png}
22622 @subsubheading Members
22625 @geindex parse() (pyGHDL.dom.Type.IncompleteType class method)
22626 @anchor{pyGHDL/pyGHDL dom Type pyGHDL dom Type IncompleteType parse}@anchor{953}
22627 @deffn {Method} classmethod  parse (node)
22629 @*Return type: 
22630 @ref{948,,IncompleteType}
22632 @end deffn
22634 @geindex Identifier (pyGHDL.dom.Type.IncompleteType property)
22635 @anchor{pyGHDL/pyGHDL dom Type pyGHDL dom Type IncompleteType Identifier}@anchor{954}
22636 @deffn {Property} Identifier:  str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
22638 Returns a model entity’s identifier (name).
22640 @*Return type: 
22641 str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
22643 @end deffn
22645 @geindex Parent (pyGHDL.dom.Type.IncompleteType property)
22646 @anchor{pyGHDL/pyGHDL dom Type pyGHDL dom Type IncompleteType Parent}@anchor{955}
22647 @deffn {Property} Parent:  pyVHDLModel.ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
22649 Returns a reference to the parent entity.
22651 @*Return type: 
22652 ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
22654 @end deffn
22656 @geindex Position (pyGHDL.dom.Type.IncompleteType property)
22657 @anchor{pyGHDL/pyGHDL dom Type pyGHDL dom Type IncompleteType Position}@anchor{956}
22658 @deffn {Property} Position:  @ref{200,,pyGHDL.dom.Position}
22660 @*Return type: 
22661 @ref{200,,Position}
22663 @end deffn
22665 @geindex _position (pyGHDL.dom.Type.IncompleteType attribute)
22666 @anchor{pyGHDL/pyGHDL dom Type pyGHDL dom Type IncompleteType _position}@anchor{957}
22667 @deffn {Attribute} _position:  @ref{200,,Position}  =  None
22668 @end deffn
22670 @geindex _parent (pyGHDL.dom.Type.IncompleteType attribute)
22671 @anchor{pyGHDL/pyGHDL dom Type pyGHDL dom Type IncompleteType _parent}@anchor{958}
22672 @deffn {Attribute} _parent:  ModelEntity
22674 Reference to a parent entity in the model.
22675 @end deffn
22677 @geindex _identifier (pyGHDL.dom.Type.IncompleteType attribute)
22678 @anchor{pyGHDL/pyGHDL dom Type pyGHDL dom Type IncompleteType _identifier}@anchor{959}
22679 @deffn {Attribute} _identifier:  str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
22681 The identifier of a model entity.
22682 @end deffn
22684 @geindex _iirNode (pyGHDL.dom.Type.IncompleteType attribute)
22685 @anchor{pyGHDL/pyGHDL dom Type pyGHDL dom Type IncompleteType _iirNode}@anchor{95a}
22686 @deffn {Attribute} _iirNode:  Iir
22687 @end deffn
22688 @end deffn
22690 @geindex EnumeratedType (class in pyGHDL.dom.Type)
22691 @anchor{pyGHDL/pyGHDL dom Type pyGHDL dom Type EnumeratedType}@anchor{949}
22692 @deffn {Class} pyGHDL.dom.Type.EnumeratedType (node, identifier, literals)
22694 @subsubheading Inheritance
22696 @image{inheritance-5799cdeebf1a9043c20f02ade195298a974c69ba,,,[graphviz],png}
22698 @subsubheading Members
22701 @geindex parse() (pyGHDL.dom.Type.EnumeratedType class method)
22702 @anchor{pyGHDL/pyGHDL dom Type pyGHDL dom Type EnumeratedType parse}@anchor{95b}
22703 @deffn {Method} classmethod  parse (typeName, typeDefinitionNode)
22705 @*Return type: 
22706 @ref{949,,EnumeratedType}
22708 @end deffn
22710 @geindex Identifier (pyGHDL.dom.Type.EnumeratedType property)
22711 @anchor{pyGHDL/pyGHDL dom Type pyGHDL dom Type EnumeratedType Identifier}@anchor{95c}
22712 @deffn {Property} Identifier:  str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
22714 Returns a model entity’s identifier (name).
22716 @*Return type: 
22717 str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
22719 @end deffn
22721 @geindex Literals (pyGHDL.dom.Type.EnumeratedType property)
22722 @anchor{pyGHDL/pyGHDL dom Type pyGHDL dom Type EnumeratedType Literals}@anchor{95d}
22723 @deffn {Property} Literals:  List[pyVHDLModel.SyntaxModel.EnumerationLiteral@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.EnumerationLiteral}]
22725 @*Return type: 
22726 List@footnote{https://docs.python.org/3.6/library/typing.html#typing.List}[EnumerationLiteral@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.EnumerationLiteral}]
22728 @end deffn
22730 @geindex Parent (pyGHDL.dom.Type.EnumeratedType property)
22731 @anchor{pyGHDL/pyGHDL dom Type pyGHDL dom Type EnumeratedType Parent}@anchor{95e}
22732 @deffn {Property} Parent:  pyVHDLModel.ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
22734 Returns a reference to the parent entity.
22736 @*Return type: 
22737 ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
22739 @end deffn
22741 @geindex Position (pyGHDL.dom.Type.EnumeratedType property)
22742 @anchor{pyGHDL/pyGHDL dom Type pyGHDL dom Type EnumeratedType Position}@anchor{95f}
22743 @deffn {Property} Position:  @ref{200,,pyGHDL.dom.Position}
22745 @*Return type: 
22746 @ref{200,,Position}
22748 @end deffn
22750 @geindex _position (pyGHDL.dom.Type.EnumeratedType attribute)
22751 @anchor{pyGHDL/pyGHDL dom Type pyGHDL dom Type EnumeratedType _position}@anchor{960}
22752 @deffn {Attribute} _position:  @ref{200,,pyGHDL.dom.Position}  =  None
22753 @end deffn
22755 @geindex _literals (pyGHDL.dom.Type.EnumeratedType attribute)
22756 @anchor{pyGHDL/pyGHDL dom Type pyGHDL dom Type EnumeratedType _literals}@anchor{961}
22757 @deffn {Attribute} _literals:  List[@ref{6ae,,pyGHDL.dom.Literal.EnumerationLiteral}]
22758 @end deffn
22760 @geindex _parent (pyGHDL.dom.Type.EnumeratedType attribute)
22761 @anchor{pyGHDL/pyGHDL dom Type pyGHDL dom Type EnumeratedType _parent}@anchor{962}
22762 @deffn {Attribute} _parent:  ModelEntity
22764 Reference to a parent entity in the model.
22765 @end deffn
22767 @geindex _identifier (pyGHDL.dom.Type.EnumeratedType attribute)
22768 @anchor{pyGHDL/pyGHDL dom Type pyGHDL dom Type EnumeratedType _identifier}@anchor{963}
22769 @deffn {Attribute} _identifier:  str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
22771 The identifier of a model entity.
22772 @end deffn
22774 @geindex _iirNode (pyGHDL.dom.Type.EnumeratedType attribute)
22775 @anchor{pyGHDL/pyGHDL dom Type pyGHDL dom Type EnumeratedType _iirNode}@anchor{964}
22776 @deffn {Attribute} _iirNode:  pyGHDL.libghdl._types.Iir
22777 @end deffn
22778 @end deffn
22780 @geindex IntegerType (class in pyGHDL.dom.Type)
22781 @anchor{pyGHDL/pyGHDL dom Type pyGHDL dom Type IntegerType}@anchor{94a}
22782 @deffn {Class} pyGHDL.dom.Type.IntegerType (node, typeName, rng)
22784 @subsubheading Inheritance
22786 @image{inheritance-639507d32ef8554f6ab70c6bff9ef0df04a6c3b2,,,[graphviz],png}
22788 @subsubheading Members
22791 @geindex Identifier (pyGHDL.dom.Type.IntegerType property)
22792 @anchor{pyGHDL/pyGHDL dom Type pyGHDL dom Type IntegerType Identifier}@anchor{965}
22793 @deffn {Property} Identifier:  str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
22795 Returns a model entity’s identifier (name).
22797 @*Return type: 
22798 str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
22800 @end deffn
22802 @geindex Parent (pyGHDL.dom.Type.IntegerType property)
22803 @anchor{pyGHDL/pyGHDL dom Type pyGHDL dom Type IntegerType Parent}@anchor{966}
22804 @deffn {Property} Parent:  pyVHDLModel.ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
22806 Returns a reference to the parent entity.
22808 @*Return type: 
22809 ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
22811 @end deffn
22813 @geindex Position (pyGHDL.dom.Type.IntegerType property)
22814 @anchor{pyGHDL/pyGHDL dom Type pyGHDL dom Type IntegerType Position}@anchor{967}
22815 @deffn {Property} Position:  @ref{200,,pyGHDL.dom.Position}
22817 @*Return type: 
22818 @ref{200,,Position}
22820 @end deffn
22822 @geindex Range (pyGHDL.dom.Type.IntegerType property)
22823 @anchor{pyGHDL/pyGHDL dom Type pyGHDL dom Type IntegerType Range}@anchor{968}
22824 @deffn {Property} Range:  Union[pyVHDLModel.SyntaxModel.Range@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Range},  pyVHDLModel.SyntaxModel.Name@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Name}]
22826 @*Return type: 
22827 Union@footnote{https://docs.python.org/3.6/library/typing.html#typing.Union}[Range@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Range}, Name@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Name}]
22829 @end deffn
22831 @geindex _position (pyGHDL.dom.Type.IntegerType attribute)
22832 @anchor{pyGHDL/pyGHDL dom Type pyGHDL dom Type IntegerType _position}@anchor{969}
22833 @deffn {Attribute} _position:  @ref{200,,Position}  =  None
22834 @end deffn
22836 @geindex _range (pyGHDL.dom.Type.IntegerType attribute)
22837 @anchor{pyGHDL/pyGHDL dom Type pyGHDL dom Type IntegerType _range}@anchor{96a}
22838 @deffn {Attribute} _range:  Union[@ref{21a,,Range},  pyVHDLModel.SyntaxModel.Name@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Name}]
22839 @end deffn
22841 @geindex _leftBound (pyGHDL.dom.Type.IntegerType attribute)
22842 @anchor{pyGHDL/pyGHDL dom Type pyGHDL dom Type IntegerType _leftBound}@anchor{96b}
22843 @deffn {Attribute} _leftBound:  Union[BaseExpression,  @ref{203,,QualifiedExpression},  @ref{204,,FunctionCall},  @ref{205,,TypeConversion},  @ref{206,,Constant},  ConstantSymbol,  @ref{207,,Variable},  VariableSymbol,  @ref{208,,Signal},  SignalSymbol,  Literal]
22844 @end deffn
22846 @geindex _rightBound (pyGHDL.dom.Type.IntegerType attribute)
22847 @anchor{pyGHDL/pyGHDL dom Type pyGHDL dom Type IntegerType _rightBound}@anchor{96c}
22848 @deffn {Attribute} _rightBound:  Union[BaseExpression,  @ref{203,,QualifiedExpression},  @ref{204,,FunctionCall},  @ref{205,,TypeConversion},  @ref{206,,Constant},  ConstantSymbol,  @ref{207,,Variable},  VariableSymbol,  @ref{208,,Signal},  SignalSymbol,  Literal]
22849 @end deffn
22851 @geindex _parent (pyGHDL.dom.Type.IntegerType attribute)
22852 @anchor{pyGHDL/pyGHDL dom Type pyGHDL dom Type IntegerType _parent}@anchor{96d}
22853 @deffn {Attribute} _parent:  ModelEntity
22855 Reference to a parent entity in the model.
22856 @end deffn
22858 @geindex _identifier (pyGHDL.dom.Type.IntegerType attribute)
22859 @anchor{pyGHDL/pyGHDL dom Type pyGHDL dom Type IntegerType _identifier}@anchor{96e}
22860 @deffn {Attribute} _identifier:  str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
22862 The identifier of a model entity.
22863 @end deffn
22865 @geindex _iirNode (pyGHDL.dom.Type.IntegerType attribute)
22866 @anchor{pyGHDL/pyGHDL dom Type pyGHDL dom Type IntegerType _iirNode}@anchor{96f}
22867 @deffn {Attribute} _iirNode:  Iir
22868 @end deffn
22869 @end deffn
22871 @geindex PhysicalType (class in pyGHDL.dom.Type)
22872 @anchor{pyGHDL/pyGHDL dom Type pyGHDL dom Type PhysicalType}@anchor{94b}
22873 @deffn {Class} pyGHDL.dom.Type.PhysicalType (node, typeName, rng, primaryUnit, units)
22875 @subsubheading Inheritance
22877 @image{inheritance-926b116ec4ead21805fb5432391cd82ec0bba76c,,,[graphviz],png}
22879 @subsubheading Members
22882 @geindex parse() (pyGHDL.dom.Type.PhysicalType class method)
22883 @anchor{pyGHDL/pyGHDL dom Type pyGHDL dom Type PhysicalType parse}@anchor{970}
22884 @deffn {Method} classmethod  parse (typeName, typeDefinitionNode)
22886 @*Return type: 
22887 @ref{94b,,PhysicalType}
22889 @end deffn
22891 @geindex Identifier (pyGHDL.dom.Type.PhysicalType property)
22892 @anchor{pyGHDL/pyGHDL dom Type pyGHDL dom Type PhysicalType Identifier}@anchor{971}
22893 @deffn {Property} Identifier:  str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
22895 Returns a model entity’s identifier (name).
22897 @*Return type: 
22898 str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
22900 @end deffn
22902 @geindex Parent (pyGHDL.dom.Type.PhysicalType property)
22903 @anchor{pyGHDL/pyGHDL dom Type pyGHDL dom Type PhysicalType Parent}@anchor{972}
22904 @deffn {Property} Parent:  pyVHDLModel.ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
22906 Returns a reference to the parent entity.
22908 @*Return type: 
22909 ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
22911 @end deffn
22913 @geindex Position (pyGHDL.dom.Type.PhysicalType property)
22914 @anchor{pyGHDL/pyGHDL dom Type pyGHDL dom Type PhysicalType Position}@anchor{973}
22915 @deffn {Property} Position:  @ref{200,,pyGHDL.dom.Position}
22917 @*Return type: 
22918 @ref{200,,Position}
22920 @end deffn
22922 @geindex PrimaryUnit (pyGHDL.dom.Type.PhysicalType property)
22923 @anchor{pyGHDL/pyGHDL dom Type pyGHDL dom Type PhysicalType PrimaryUnit}@anchor{974}
22924 @deffn {Property} PrimaryUnit:  str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
22926 @*Return type: 
22927 str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
22929 @end deffn
22931 @geindex Range (pyGHDL.dom.Type.PhysicalType property)
22932 @anchor{pyGHDL/pyGHDL dom Type pyGHDL dom Type PhysicalType Range}@anchor{975}
22933 @deffn {Property} Range:  Union[pyVHDLModel.SyntaxModel.Range@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Range},  pyVHDLModel.SyntaxModel.Name@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Name}]
22935 @*Return type: 
22936 Union@footnote{https://docs.python.org/3.6/library/typing.html#typing.Union}[Range@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Range}, Name@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Name}]
22938 @end deffn
22940 @geindex SecondaryUnits (pyGHDL.dom.Type.PhysicalType property)
22941 @anchor{pyGHDL/pyGHDL dom Type pyGHDL dom Type PhysicalType SecondaryUnits}@anchor{976}
22942 @deffn {Property} SecondaryUnits:  List[Tuple[str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str},  pyVHDLModel.SyntaxModel.PhysicalIntegerLiteral@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.PhysicalIntegerLiteral}]]
22944 @*Return type: 
22945 List@footnote{https://docs.python.org/3.6/library/typing.html#typing.List}[Tuple@footnote{https://docs.python.org/3.6/library/typing.html#typing.Tuple}[str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}, PhysicalIntegerLiteral@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.PhysicalIntegerLiteral}]]
22947 @end deffn
22949 @geindex _position (pyGHDL.dom.Type.PhysicalType attribute)
22950 @anchor{pyGHDL/pyGHDL dom Type pyGHDL dom Type PhysicalType _position}@anchor{977}
22951 @deffn {Attribute} _position:  @ref{200,,Position}  =  None
22952 @end deffn
22954 @geindex _primaryUnit (pyGHDL.dom.Type.PhysicalType attribute)
22955 @anchor{pyGHDL/pyGHDL dom Type pyGHDL dom Type PhysicalType _primaryUnit}@anchor{978}
22956 @deffn {Attribute} _primaryUnit:  str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
22957 @end deffn
22959 @geindex _secondaryUnits (pyGHDL.dom.Type.PhysicalType attribute)
22960 @anchor{pyGHDL/pyGHDL dom Type pyGHDL dom Type PhysicalType _secondaryUnits}@anchor{979}
22961 @deffn {Attribute} _secondaryUnits:  List[Tuple[str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str},  @ref{6b1,,PhysicalIntegerLiteral}]]
22962 @end deffn
22964 @geindex _range (pyGHDL.dom.Type.PhysicalType attribute)
22965 @anchor{pyGHDL/pyGHDL dom Type pyGHDL dom Type PhysicalType _range}@anchor{97a}
22966 @deffn {Attribute} _range:  Union['Range',  @ref{354,,Name}]
22967 @end deffn
22969 @geindex _leftBound (pyGHDL.dom.Type.PhysicalType attribute)
22970 @anchor{pyGHDL/pyGHDL dom Type pyGHDL dom Type PhysicalType _leftBound}@anchor{97b}
22971 @deffn {Attribute} _leftBound:  ExpressionUnion
22972 @end deffn
22974 @geindex _rightBound (pyGHDL.dom.Type.PhysicalType attribute)
22975 @anchor{pyGHDL/pyGHDL dom Type pyGHDL dom Type PhysicalType _rightBound}@anchor{97c}
22976 @deffn {Attribute} _rightBound:  ExpressionUnion
22977 @end deffn
22979 @geindex _parent (pyGHDL.dom.Type.PhysicalType attribute)
22980 @anchor{pyGHDL/pyGHDL dom Type pyGHDL dom Type PhysicalType _parent}@anchor{97d}
22981 @deffn {Attribute} _parent:  ModelEntity
22983 Reference to a parent entity in the model.
22984 @end deffn
22986 @geindex _identifier (pyGHDL.dom.Type.PhysicalType attribute)
22987 @anchor{pyGHDL/pyGHDL dom Type pyGHDL dom Type PhysicalType _identifier}@anchor{97e}
22988 @deffn {Attribute} _identifier:  str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
22990 The identifier of a model entity.
22991 @end deffn
22993 @geindex _iirNode (pyGHDL.dom.Type.PhysicalType attribute)
22994 @anchor{pyGHDL/pyGHDL dom Type pyGHDL dom Type PhysicalType _iirNode}@anchor{97f}
22995 @deffn {Attribute} _iirNode:  Iir
22996 @end deffn
22997 @end deffn
22999 @geindex ArrayType (class in pyGHDL.dom.Type)
23000 @anchor{pyGHDL/pyGHDL dom Type pyGHDL dom Type ArrayType}@anchor{94c}
23001 @deffn {Class} pyGHDL.dom.Type.ArrayType (node, identifier, indices, elementSubtype)
23003 @subsubheading Inheritance
23005 @image{inheritance-8232d93bbe0e961c8d6169ed6025ca49aaa5f52d,,,[graphviz],png}
23007 @subsubheading Members
23010 @geindex parse() (pyGHDL.dom.Type.ArrayType class method)
23011 @anchor{pyGHDL/pyGHDL dom Type pyGHDL dom Type ArrayType parse}@anchor{980}
23012 @deffn {Method} classmethod  parse (typeName, typeDefinitionNode)
23014 @*Return type: 
23015 @ref{94c,,ArrayType}
23017 @end deffn
23019 @geindex Dimensions (pyGHDL.dom.Type.ArrayType property)
23020 @anchor{pyGHDL/pyGHDL dom Type pyGHDL dom Type ArrayType Dimensions}@anchor{981}
23021 @deffn {Property} Dimensions:  List[pyVHDLModel.SyntaxModel.Range@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Range}]
23023 @*Return type: 
23024 List@footnote{https://docs.python.org/3.6/library/typing.html#typing.List}[Range@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Range}]
23026 @end deffn
23028 @geindex ElementType (pyGHDL.dom.Type.ArrayType property)
23029 @anchor{pyGHDL/pyGHDL dom Type pyGHDL dom Type ArrayType ElementType}@anchor{982}
23030 @deffn {Property} ElementType:  pyVHDLModel.SyntaxModel.Subtype@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Subtype}
23032 @*Return type: 
23033 Subtype@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Subtype}
23035 @end deffn
23037 @geindex Identifier (pyGHDL.dom.Type.ArrayType property)
23038 @anchor{pyGHDL/pyGHDL dom Type pyGHDL dom Type ArrayType Identifier}@anchor{983}
23039 @deffn {Property} Identifier:  str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
23041 Returns a model entity’s identifier (name).
23043 @*Return type: 
23044 str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
23046 @end deffn
23048 @geindex Parent (pyGHDL.dom.Type.ArrayType property)
23049 @anchor{pyGHDL/pyGHDL dom Type pyGHDL dom Type ArrayType Parent}@anchor{984}
23050 @deffn {Property} Parent:  pyVHDLModel.ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
23052 Returns a reference to the parent entity.
23054 @*Return type: 
23055 ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
23057 @end deffn
23059 @geindex Position (pyGHDL.dom.Type.ArrayType property)
23060 @anchor{pyGHDL/pyGHDL dom Type pyGHDL dom Type ArrayType Position}@anchor{985}
23061 @deffn {Property} Position:  @ref{200,,pyGHDL.dom.Position}
23063 @*Return type: 
23064 @ref{200,,Position}
23066 @end deffn
23068 @geindex _position (pyGHDL.dom.Type.ArrayType attribute)
23069 @anchor{pyGHDL/pyGHDL dom Type pyGHDL dom Type ArrayType _position}@anchor{986}
23070 @deffn {Attribute} _position:  @ref{200,,pyGHDL.dom.Position}  =  None
23071 @end deffn
23073 @geindex _dimensions (pyGHDL.dom.Type.ArrayType attribute)
23074 @anchor{pyGHDL/pyGHDL dom Type pyGHDL dom Type ArrayType _dimensions}@anchor{987}
23075 @deffn {Attribute} _dimensions:  List[@ref{21a,,pyGHDL.dom.Range.Range}]
23076 @end deffn
23078 @geindex _elementType (pyGHDL.dom.Type.ArrayType attribute)
23079 @anchor{pyGHDL/pyGHDL dom Type pyGHDL dom Type ArrayType _elementType}@anchor{988}
23080 @deffn {Attribute} _elementType:  pyVHDLModel.SyntaxModel.Subtype@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Subtype}
23081 @end deffn
23083 @geindex _parent (pyGHDL.dom.Type.ArrayType attribute)
23084 @anchor{pyGHDL/pyGHDL dom Type pyGHDL dom Type ArrayType _parent}@anchor{989}
23085 @deffn {Attribute} _parent:  ModelEntity
23087 Reference to a parent entity in the model.
23088 @end deffn
23090 @geindex _identifier (pyGHDL.dom.Type.ArrayType attribute)
23091 @anchor{pyGHDL/pyGHDL dom Type pyGHDL dom Type ArrayType _identifier}@anchor{98a}
23092 @deffn {Attribute} _identifier:  str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
23094 The identifier of a model entity.
23095 @end deffn
23097 @geindex _iirNode (pyGHDL.dom.Type.ArrayType attribute)
23098 @anchor{pyGHDL/pyGHDL dom Type pyGHDL dom Type ArrayType _iirNode}@anchor{98b}
23099 @deffn {Attribute} _iirNode:  pyGHDL.libghdl._types.Iir
23100 @end deffn
23101 @end deffn
23103 @geindex RecordTypeElement (class in pyGHDL.dom.Type)
23104 @anchor{pyGHDL/pyGHDL dom Type pyGHDL dom Type RecordTypeElement}@anchor{94d}
23105 @deffn {Class} pyGHDL.dom.Type.RecordTypeElement (node, identifiers, subtype)
23107 @subsubheading Inheritance
23109 @image{inheritance-ac2d3cb1a43ecc6034ab849b1c67fc042f76f002,,,[graphviz],png}
23111 @subsubheading Members
23114 @geindex parse() (pyGHDL.dom.Type.RecordTypeElement class method)
23115 @anchor{pyGHDL/pyGHDL dom Type pyGHDL dom Type RecordTypeElement parse}@anchor{98c}
23116 @deffn {Method} classmethod  parse (elementDeclarationNode)
23118 @*Return type: 
23119 @ref{94d,,RecordTypeElement}
23121 @end deffn
23123 @geindex Identifiers (pyGHDL.dom.Type.RecordTypeElement property)
23124 @anchor{pyGHDL/pyGHDL dom Type pyGHDL dom Type RecordTypeElement Identifiers}@anchor{98d}
23125 @deffn {Property} Identifiers:  List[str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}]
23127 Returns a model entity’s list of identifiers (name).
23129 @*Return type: 
23130 List@footnote{https://docs.python.org/3.6/library/typing.html#typing.List}[str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}]
23132 @end deffn
23134 @geindex Parent (pyGHDL.dom.Type.RecordTypeElement property)
23135 @anchor{pyGHDL/pyGHDL dom Type pyGHDL dom Type RecordTypeElement Parent}@anchor{98e}
23136 @deffn {Property} Parent:  pyVHDLModel.ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
23138 Returns a reference to the parent entity.
23140 @*Return type: 
23141 ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
23143 @end deffn
23145 @geindex Position (pyGHDL.dom.Type.RecordTypeElement property)
23146 @anchor{pyGHDL/pyGHDL dom Type pyGHDL dom Type RecordTypeElement Position}@anchor{98f}
23147 @deffn {Property} Position:  @ref{200,,pyGHDL.dom.Position}
23149 @*Return type: 
23150 @ref{200,,Position}
23152 @end deffn
23154 @geindex Subtype (pyGHDL.dom.Type.RecordTypeElement property)
23155 @anchor{pyGHDL/pyGHDL dom Type pyGHDL dom Type RecordTypeElement Subtype}@anchor{990}
23156 @deffn {Property} Subtype:  Union[pyVHDLModel.SyntaxModel.Subtype@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Subtype},  pyVHDLModel.SyntaxModel.SubtypeSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.SubtypeSymbol}]
23158 @*Return type: 
23159 Union@footnote{https://docs.python.org/3.6/library/typing.html#typing.Union}[Subtype@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Subtype}, SubtypeSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.SubtypeSymbol}]
23161 @end deffn
23163 @geindex _position (pyGHDL.dom.Type.RecordTypeElement attribute)
23164 @anchor{pyGHDL/pyGHDL dom Type pyGHDL dom Type RecordTypeElement _position}@anchor{991}
23165 @deffn {Attribute} _position:  @ref{200,,Position}  =  None
23166 @end deffn
23168 @geindex _subtype (pyGHDL.dom.Type.RecordTypeElement attribute)
23169 @anchor{pyGHDL/pyGHDL dom Type pyGHDL dom Type RecordTypeElement _subtype}@anchor{992}
23170 @deffn {Attribute} _subtype:  Union[@ref{239,,Subtype},  SubtypeSymbol]
23171 @end deffn
23173 @geindex _parent (pyGHDL.dom.Type.RecordTypeElement attribute)
23174 @anchor{pyGHDL/pyGHDL dom Type pyGHDL dom Type RecordTypeElement _parent}@anchor{993}
23175 @deffn {Attribute} _parent:  ModelEntity
23177 Reference to a parent entity in the model.
23178 @end deffn
23180 @geindex _identifiers (pyGHDL.dom.Type.RecordTypeElement attribute)
23181 @anchor{pyGHDL/pyGHDL dom Type pyGHDL dom Type RecordTypeElement _identifiers}@anchor{994}
23182 @deffn {Attribute} _identifiers:  List[str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}]
23184 A list of identifiers.
23185 @end deffn
23187 @geindex _iirNode (pyGHDL.dom.Type.RecordTypeElement attribute)
23188 @anchor{pyGHDL/pyGHDL dom Type pyGHDL dom Type RecordTypeElement _iirNode}@anchor{995}
23189 @deffn {Attribute} _iirNode:  Iir
23190 @end deffn
23191 @end deffn
23193 @geindex RecordType (class in pyGHDL.dom.Type)
23194 @anchor{pyGHDL/pyGHDL dom Type pyGHDL dom Type RecordType}@anchor{94e}
23195 @deffn {Class} pyGHDL.dom.Type.RecordType (node, identifier, elements=None)
23197 @subsubheading Inheritance
23199 @image{inheritance-b1317c58e6d5daa9c653acbe19fca9ca91929a39,,,[graphviz],png}
23201 @subsubheading Members
23204 @geindex parse() (pyGHDL.dom.Type.RecordType class method)
23205 @anchor{pyGHDL/pyGHDL dom Type pyGHDL dom Type RecordType parse}@anchor{996}
23206 @deffn {Method} classmethod  parse (typeName, typeDefinitionNode)
23208 @*Return type: 
23209 @ref{94e,,RecordType}
23211 @end deffn
23213 @geindex Elements (pyGHDL.dom.Type.RecordType property)
23214 @anchor{pyGHDL/pyGHDL dom Type pyGHDL dom Type RecordType Elements}@anchor{997}
23215 @deffn {Property} Elements:  List[pyVHDLModel.SyntaxModel.RecordTypeElement@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.RecordTypeElement}]
23217 @*Return type: 
23218 List@footnote{https://docs.python.org/3.6/library/typing.html#typing.List}[RecordTypeElement@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.RecordTypeElement}]
23220 @end deffn
23222 @geindex Identifier (pyGHDL.dom.Type.RecordType property)
23223 @anchor{pyGHDL/pyGHDL dom Type pyGHDL dom Type RecordType Identifier}@anchor{998}
23224 @deffn {Property} Identifier:  str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
23226 Returns a model entity’s identifier (name).
23228 @*Return type: 
23229 str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
23231 @end deffn
23233 @geindex Parent (pyGHDL.dom.Type.RecordType property)
23234 @anchor{pyGHDL/pyGHDL dom Type pyGHDL dom Type RecordType Parent}@anchor{999}
23235 @deffn {Property} Parent:  pyVHDLModel.ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
23237 Returns a reference to the parent entity.
23239 @*Return type: 
23240 ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
23242 @end deffn
23244 @geindex Position (pyGHDL.dom.Type.RecordType property)
23245 @anchor{pyGHDL/pyGHDL dom Type pyGHDL dom Type RecordType Position}@anchor{99a}
23246 @deffn {Property} Position:  @ref{200,,pyGHDL.dom.Position}
23248 @*Return type: 
23249 @ref{200,,Position}
23251 @end deffn
23253 @geindex _position (pyGHDL.dom.Type.RecordType attribute)
23254 @anchor{pyGHDL/pyGHDL dom Type pyGHDL dom Type RecordType _position}@anchor{99b}
23255 @deffn {Attribute} _position:  @ref{200,,pyGHDL.dom.Position}  =  None
23256 @end deffn
23258 @geindex _elements (pyGHDL.dom.Type.RecordType attribute)
23259 @anchor{pyGHDL/pyGHDL dom Type pyGHDL dom Type RecordType _elements}@anchor{99c}
23260 @deffn {Attribute} _elements:  List[pyVHDLModel.SyntaxModel.RecordTypeElement@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.RecordTypeElement}]
23261 @end deffn
23263 @geindex _parent (pyGHDL.dom.Type.RecordType attribute)
23264 @anchor{pyGHDL/pyGHDL dom Type pyGHDL dom Type RecordType _parent}@anchor{99d}
23265 @deffn {Attribute} _parent:  ModelEntity
23267 Reference to a parent entity in the model.
23268 @end deffn
23270 @geindex _identifier (pyGHDL.dom.Type.RecordType attribute)
23271 @anchor{pyGHDL/pyGHDL dom Type pyGHDL dom Type RecordType _identifier}@anchor{99e}
23272 @deffn {Attribute} _identifier:  str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
23274 The identifier of a model entity.
23275 @end deffn
23277 @geindex _iirNode (pyGHDL.dom.Type.RecordType attribute)
23278 @anchor{pyGHDL/pyGHDL dom Type pyGHDL dom Type RecordType _iirNode}@anchor{99f}
23279 @deffn {Attribute} _iirNode:  pyGHDL.libghdl._types.Iir
23280 @end deffn
23281 @end deffn
23283 @geindex ProtectedType (class in pyGHDL.dom.Type)
23284 @anchor{pyGHDL/pyGHDL dom Type pyGHDL dom Type ProtectedType}@anchor{94f}
23285 @deffn {Class} pyGHDL.dom.Type.ProtectedType (node, identifier, methods=None)
23287 @subsubheading Inheritance
23289 @image{inheritance-ccf8357a58775a283ad0d7bb00583f925af4beb8,,,[graphviz],png}
23291 @subsubheading Members
23294 @geindex parse() (pyGHDL.dom.Type.ProtectedType class method)
23295 @anchor{pyGHDL/pyGHDL dom Type pyGHDL dom Type ProtectedType parse}@anchor{9a0}
23296 @deffn {Method} classmethod  parse (typeName, typeDefinitionNode)
23298 @*Return type: 
23299 @ref{94f,,ProtectedType}
23301 @end deffn
23303 @geindex Identifier (pyGHDL.dom.Type.ProtectedType property)
23304 @anchor{pyGHDL/pyGHDL dom Type pyGHDL dom Type ProtectedType Identifier}@anchor{9a1}
23305 @deffn {Property} Identifier:  str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
23307 Returns a model entity’s identifier (name).
23309 @*Return type: 
23310 str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
23312 @end deffn
23314 @geindex Methods (pyGHDL.dom.Type.ProtectedType property)
23315 @anchor{pyGHDL/pyGHDL dom Type pyGHDL dom Type ProtectedType Methods}@anchor{9a2}
23316 @deffn {Property} Methods:  List[Union[pyVHDLModel.SyntaxModel.Procedure@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Procedure},  pyVHDLModel.SyntaxModel.Function@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Function}]]
23318 @*Return type: 
23319 List@footnote{https://docs.python.org/3.6/library/typing.html#typing.List}[Union@footnote{https://docs.python.org/3.6/library/typing.html#typing.Union}[Procedure@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Procedure}, Function@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Function}]]
23321 @end deffn
23323 @geindex Parent (pyGHDL.dom.Type.ProtectedType property)
23324 @anchor{pyGHDL/pyGHDL dom Type pyGHDL dom Type ProtectedType Parent}@anchor{9a3}
23325 @deffn {Property} Parent:  pyVHDLModel.ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
23327 Returns a reference to the parent entity.
23329 @*Return type: 
23330 ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
23332 @end deffn
23334 @geindex Position (pyGHDL.dom.Type.ProtectedType property)
23335 @anchor{pyGHDL/pyGHDL dom Type pyGHDL dom Type ProtectedType Position}@anchor{9a4}
23336 @deffn {Property} Position:  @ref{200,,pyGHDL.dom.Position}
23338 @*Return type: 
23339 @ref{200,,Position}
23341 @end deffn
23343 @geindex _position (pyGHDL.dom.Type.ProtectedType attribute)
23344 @anchor{pyGHDL/pyGHDL dom Type pyGHDL dom Type ProtectedType _position}@anchor{9a5}
23345 @deffn {Attribute} _position:  @ref{200,,pyGHDL.dom.Position}  =  None
23346 @end deffn
23348 @geindex _methods (pyGHDL.dom.Type.ProtectedType attribute)
23349 @anchor{pyGHDL/pyGHDL dom Type pyGHDL dom Type ProtectedType _methods}@anchor{9a6}
23350 @deffn {Attribute} _methods:  List[Union[@ref{8cd,,pyGHDL.dom.Subprogram.Procedure},  @ref{8cc,,pyGHDL.dom.Subprogram.Function}]]
23351 @end deffn
23353 @geindex _parent (pyGHDL.dom.Type.ProtectedType attribute)
23354 @anchor{pyGHDL/pyGHDL dom Type pyGHDL dom Type ProtectedType _parent}@anchor{9a7}
23355 @deffn {Attribute} _parent:  ModelEntity
23357 Reference to a parent entity in the model.
23358 @end deffn
23360 @geindex _identifier (pyGHDL.dom.Type.ProtectedType attribute)
23361 @anchor{pyGHDL/pyGHDL dom Type pyGHDL dom Type ProtectedType _identifier}@anchor{9a8}
23362 @deffn {Attribute} _identifier:  str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
23364 The identifier of a model entity.
23365 @end deffn
23367 @geindex _iirNode (pyGHDL.dom.Type.ProtectedType attribute)
23368 @anchor{pyGHDL/pyGHDL dom Type pyGHDL dom Type ProtectedType _iirNode}@anchor{9a9}
23369 @deffn {Attribute} _iirNode:  pyGHDL.libghdl._types.Iir
23370 @end deffn
23371 @end deffn
23373 @geindex ProtectedTypeBody (class in pyGHDL.dom.Type)
23374 @anchor{pyGHDL/pyGHDL dom Type pyGHDL dom Type ProtectedTypeBody}@anchor{950}
23375 @deffn {Class} pyGHDL.dom.Type.ProtectedTypeBody (node, identifier, declaredItems=None)
23377 @subsubheading Inheritance
23379 @image{inheritance-f3e81eb11d5f798282d3a566fee4f9ae5236ea98,,,[graphviz],png}
23381 @subsubheading Members
23384 @geindex parse() (pyGHDL.dom.Type.ProtectedTypeBody class method)
23385 @anchor{pyGHDL/pyGHDL dom Type pyGHDL dom Type ProtectedTypeBody parse}@anchor{9aa}
23386 @deffn {Method} classmethod  parse (protectedBodyNode)
23388 @*Return type: 
23389 @ref{950,,ProtectedTypeBody}
23391 @end deffn
23393 @geindex Identifier (pyGHDL.dom.Type.ProtectedTypeBody property)
23394 @anchor{pyGHDL/pyGHDL dom Type pyGHDL dom Type ProtectedTypeBody Identifier}@anchor{9ab}
23395 @deffn {Property} Identifier:  str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
23397 Returns a model entity’s identifier (name).
23399 @*Return type: 
23400 str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
23402 @end deffn
23404 @geindex Methods (pyGHDL.dom.Type.ProtectedTypeBody property)
23405 @anchor{pyGHDL/pyGHDL dom Type pyGHDL dom Type ProtectedTypeBody Methods}@anchor{9ac}
23406 @deffn {Property} Methods:  List[Union[pyVHDLModel.SyntaxModel.Procedure@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Procedure},  pyVHDLModel.SyntaxModel.Function@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Function}]]
23408 @*Return type: 
23409 List@footnote{https://docs.python.org/3.6/library/typing.html#typing.List}[Union@footnote{https://docs.python.org/3.6/library/typing.html#typing.Union}[Procedure@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Procedure}, Function@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Function}]]
23411 @end deffn
23413 @geindex Parent (pyGHDL.dom.Type.ProtectedTypeBody property)
23414 @anchor{pyGHDL/pyGHDL dom Type pyGHDL dom Type ProtectedTypeBody Parent}@anchor{9ad}
23415 @deffn {Property} Parent:  pyVHDLModel.ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
23417 Returns a reference to the parent entity.
23419 @*Return type: 
23420 ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
23422 @end deffn
23424 @geindex Position (pyGHDL.dom.Type.ProtectedTypeBody property)
23425 @anchor{pyGHDL/pyGHDL dom Type pyGHDL dom Type ProtectedTypeBody Position}@anchor{9ae}
23426 @deffn {Property} Position:  @ref{200,,pyGHDL.dom.Position}
23428 @*Return type: 
23429 @ref{200,,Position}
23431 @end deffn
23433 @geindex _position (pyGHDL.dom.Type.ProtectedTypeBody attribute)
23434 @anchor{pyGHDL/pyGHDL dom Type pyGHDL dom Type ProtectedTypeBody _position}@anchor{9af}
23435 @deffn {Attribute} _position:  @ref{200,,pyGHDL.dom.Position}  =  None
23436 @end deffn
23438 @geindex _methods (pyGHDL.dom.Type.ProtectedTypeBody attribute)
23439 @anchor{pyGHDL/pyGHDL dom Type pyGHDL dom Type ProtectedTypeBody _methods}@anchor{9b0}
23440 @deffn {Attribute} _methods:  List[Union[@ref{8cd,,pyGHDL.dom.Subprogram.Procedure},  @ref{8cc,,pyGHDL.dom.Subprogram.Function}]]
23441 @end deffn
23443 @geindex _parent (pyGHDL.dom.Type.ProtectedTypeBody attribute)
23444 @anchor{pyGHDL/pyGHDL dom Type pyGHDL dom Type ProtectedTypeBody _parent}@anchor{9b1}
23445 @deffn {Attribute} _parent:  ModelEntity
23447 Reference to a parent entity in the model.
23448 @end deffn
23450 @geindex _identifier (pyGHDL.dom.Type.ProtectedTypeBody attribute)
23451 @anchor{pyGHDL/pyGHDL dom Type pyGHDL dom Type ProtectedTypeBody _identifier}@anchor{9b2}
23452 @deffn {Attribute} _identifier:  str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
23454 The identifier of a model entity.
23455 @end deffn
23457 @geindex _iirNode (pyGHDL.dom.Type.ProtectedTypeBody attribute)
23458 @anchor{pyGHDL/pyGHDL dom Type pyGHDL dom Type ProtectedTypeBody _iirNode}@anchor{9b3}
23459 @deffn {Attribute} _iirNode:  pyGHDL.libghdl._types.Iir
23460 @end deffn
23461 @end deffn
23463 @geindex AccessType (class in pyGHDL.dom.Type)
23464 @anchor{pyGHDL/pyGHDL dom Type pyGHDL dom Type AccessType}@anchor{951}
23465 @deffn {Class} pyGHDL.dom.Type.AccessType (node, identifier, designatedSubtype)
23467 @subsubheading Inheritance
23469 @image{inheritance-064dab3157b8c532d5e3fb6281972d1070af0a7c,,,[graphviz],png}
23471 @subsubheading Members
23474 @geindex parse() (pyGHDL.dom.Type.AccessType class method)
23475 @anchor{pyGHDL/pyGHDL dom Type pyGHDL dom Type AccessType parse}@anchor{9b4}
23476 @deffn {Method} classmethod  parse (typeName, typeDefinitionNode)
23478 @*Return type: 
23479 @ref{951,,AccessType}
23481 @end deffn
23483 @geindex DesignatedSubtype (pyGHDL.dom.Type.AccessType property)
23484 @anchor{pyGHDL/pyGHDL dom Type pyGHDL dom Type AccessType DesignatedSubtype}@anchor{9b5}
23485 @deffn {Property} DesignatedSubtype
23486 @end deffn
23488 @geindex Identifier (pyGHDL.dom.Type.AccessType property)
23489 @anchor{pyGHDL/pyGHDL dom Type pyGHDL dom Type AccessType Identifier}@anchor{9b6}
23490 @deffn {Property} Identifier:  str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
23492 Returns a model entity’s identifier (name).
23494 @*Return type: 
23495 str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
23497 @end deffn
23499 @geindex Parent (pyGHDL.dom.Type.AccessType property)
23500 @anchor{pyGHDL/pyGHDL dom Type pyGHDL dom Type AccessType Parent}@anchor{9b7}
23501 @deffn {Property} Parent:  pyVHDLModel.ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
23503 Returns a reference to the parent entity.
23505 @*Return type: 
23506 ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
23508 @end deffn
23510 @geindex Position (pyGHDL.dom.Type.AccessType property)
23511 @anchor{pyGHDL/pyGHDL dom Type pyGHDL dom Type AccessType Position}@anchor{9b8}
23512 @deffn {Property} Position:  @ref{200,,pyGHDL.dom.Position}
23514 @*Return type: 
23515 @ref{200,,Position}
23517 @end deffn
23519 @geindex _position (pyGHDL.dom.Type.AccessType attribute)
23520 @anchor{pyGHDL/pyGHDL dom Type pyGHDL dom Type AccessType _position}@anchor{9b9}
23521 @deffn {Attribute} _position:  @ref{200,,Position}  =  None
23522 @end deffn
23524 @geindex _designatedSubtype (pyGHDL.dom.Type.AccessType attribute)
23525 @anchor{pyGHDL/pyGHDL dom Type pyGHDL dom Type AccessType _designatedSubtype}@anchor{9ba}
23526 @deffn {Attribute} _designatedSubtype:  Union[@ref{239,,Subtype},  SubtypeSymbol]
23527 @end deffn
23529 @geindex _parent (pyGHDL.dom.Type.AccessType attribute)
23530 @anchor{pyGHDL/pyGHDL dom Type pyGHDL dom Type AccessType _parent}@anchor{9bb}
23531 @deffn {Attribute} _parent:  ModelEntity
23533 Reference to a parent entity in the model.
23534 @end deffn
23536 @geindex _identifier (pyGHDL.dom.Type.AccessType attribute)
23537 @anchor{pyGHDL/pyGHDL dom Type pyGHDL dom Type AccessType _identifier}@anchor{9bc}
23538 @deffn {Attribute} _identifier:  str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
23540 The identifier of a model entity.
23541 @end deffn
23543 @geindex _iirNode (pyGHDL.dom.Type.AccessType attribute)
23544 @anchor{pyGHDL/pyGHDL dom Type pyGHDL dom Type AccessType _iirNode}@anchor{9bd}
23545 @deffn {Attribute} _iirNode:  Iir
23546 @end deffn
23547 @end deffn
23549 @geindex FileType (class in pyGHDL.dom.Type)
23550 @anchor{pyGHDL/pyGHDL dom Type pyGHDL dom Type FileType}@anchor{952}
23551 @deffn {Class} pyGHDL.dom.Type.FileType (node, identifier, designatedSubtype)
23553 @subsubheading Inheritance
23555 @image{inheritance-cf3cbfc65b4030604d73f5f6daa60a9925e7aecf,,,[graphviz],png}
23557 @subsubheading Members
23560 @geindex parse() (pyGHDL.dom.Type.FileType class method)
23561 @anchor{pyGHDL/pyGHDL dom Type pyGHDL dom Type FileType parse}@anchor{9be}
23562 @deffn {Method} classmethod  parse (typeName, typeDefinitionNode)
23564 @*Return type: 
23565 @ref{952,,FileType}
23567 @end deffn
23569 @geindex DesignatedSubtype (pyGHDL.dom.Type.FileType property)
23570 @anchor{pyGHDL/pyGHDL dom Type pyGHDL dom Type FileType DesignatedSubtype}@anchor{9bf}
23571 @deffn {Property} DesignatedSubtype
23572 @end deffn
23574 @geindex Identifier (pyGHDL.dom.Type.FileType property)
23575 @anchor{pyGHDL/pyGHDL dom Type pyGHDL dom Type FileType Identifier}@anchor{9c0}
23576 @deffn {Property} Identifier:  str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
23578 Returns a model entity’s identifier (name).
23580 @*Return type: 
23581 str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
23583 @end deffn
23585 @geindex Parent (pyGHDL.dom.Type.FileType property)
23586 @anchor{pyGHDL/pyGHDL dom Type pyGHDL dom Type FileType Parent}@anchor{9c1}
23587 @deffn {Property} Parent:  pyVHDLModel.ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
23589 Returns a reference to the parent entity.
23591 @*Return type: 
23592 ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
23594 @end deffn
23596 @geindex Position (pyGHDL.dom.Type.FileType property)
23597 @anchor{pyGHDL/pyGHDL dom Type pyGHDL dom Type FileType Position}@anchor{9c2}
23598 @deffn {Property} Position:  @ref{200,,pyGHDL.dom.Position}
23600 @*Return type: 
23601 @ref{200,,Position}
23603 @end deffn
23605 @geindex _position (pyGHDL.dom.Type.FileType attribute)
23606 @anchor{pyGHDL/pyGHDL dom Type pyGHDL dom Type FileType _position}@anchor{9c3}
23607 @deffn {Attribute} _position:  @ref{200,,Position}  =  None
23608 @end deffn
23610 @geindex _designatedSubtype (pyGHDL.dom.Type.FileType attribute)
23611 @anchor{pyGHDL/pyGHDL dom Type pyGHDL dom Type FileType _designatedSubtype}@anchor{9c4}
23612 @deffn {Attribute} _designatedSubtype:  Union[@ref{239,,Subtype},  SubtypeSymbol]
23613 @end deffn
23615 @geindex _parent (pyGHDL.dom.Type.FileType attribute)
23616 @anchor{pyGHDL/pyGHDL dom Type pyGHDL dom Type FileType _parent}@anchor{9c5}
23617 @deffn {Attribute} _parent:  ModelEntity
23619 Reference to a parent entity in the model.
23620 @end deffn
23622 @geindex _identifier (pyGHDL.dom.Type.FileType attribute)
23623 @anchor{pyGHDL/pyGHDL dom Type pyGHDL dom Type FileType _identifier}@anchor{9c6}
23624 @deffn {Attribute} _identifier:  str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
23626 The identifier of a model entity.
23627 @end deffn
23629 @geindex _iirNode (pyGHDL.dom.Type.FileType attribute)
23630 @anchor{pyGHDL/pyGHDL dom Type pyGHDL dom Type FileType _iirNode}@anchor{9c7}
23631 @deffn {Attribute} _iirNode:  Iir
23632 @end deffn
23633 @end deffn
23635 @geindex Subtype (class in pyGHDL.dom.Type)
23636 @anchor{pyGHDL/pyGHDL dom Type pyGHDL dom Type Subtype}@anchor{239}
23637 @deffn {Class} pyGHDL.dom.Type.Subtype (node, subtypeName)
23639 @subsubheading Inheritance
23641 @image{inheritance-361dfe6b75c94531a9bfa267ac29d3677c36af32,,,[graphviz],png}
23643 @subsubheading Members
23646 @geindex BaseType (pyGHDL.dom.Type.Subtype property)
23647 @anchor{pyGHDL/pyGHDL dom Type pyGHDL dom Type Subtype BaseType}@anchor{9c8}
23648 @deffn {Property} BaseType:  pyVHDLModel.SyntaxModel.BaseType@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.BaseType}
23650 @*Return type: 
23651 BaseType@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.BaseType}
23653 @end deffn
23655 @geindex Identifier (pyGHDL.dom.Type.Subtype property)
23656 @anchor{pyGHDL/pyGHDL dom Type pyGHDL dom Type Subtype Identifier}@anchor{9c9}
23657 @deffn {Property} Identifier:  str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
23659 Returns a model entity’s identifier (name).
23661 @*Return type: 
23662 str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
23664 @end deffn
23666 @geindex Parent (pyGHDL.dom.Type.Subtype property)
23667 @anchor{pyGHDL/pyGHDL dom Type pyGHDL dom Type Subtype Parent}@anchor{9ca}
23668 @deffn {Property} Parent:  pyVHDLModel.ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
23670 Returns a reference to the parent entity.
23672 @*Return type: 
23673 ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
23675 @end deffn
23677 @geindex Position (pyGHDL.dom.Type.Subtype property)
23678 @anchor{pyGHDL/pyGHDL dom Type pyGHDL dom Type Subtype Position}@anchor{9cb}
23679 @deffn {Property} Position:  @ref{200,,pyGHDL.dom.Position}
23681 @*Return type: 
23682 @ref{200,,Position}
23684 @end deffn
23686 @geindex Range (pyGHDL.dom.Type.Subtype property)
23687 @anchor{pyGHDL/pyGHDL dom Type pyGHDL dom Type Subtype Range}@anchor{9cc}
23688 @deffn {Property} Range:  pyVHDLModel.SyntaxModel.Range@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Range}
23690 @*Return type: 
23691 Range@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Range}
23693 @end deffn
23695 @geindex ResolutionFunction (pyGHDL.dom.Type.Subtype property)
23696 @anchor{pyGHDL/pyGHDL dom Type pyGHDL dom Type Subtype ResolutionFunction}@anchor{9cd}
23697 @deffn {Property} ResolutionFunction:  pyVHDLModel.SyntaxModel.Function@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Function}
23699 @*Return type: 
23700 Function@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Function}
23702 @end deffn
23704 @geindex Type (pyGHDL.dom.Type.Subtype property)
23705 @anchor{pyGHDL/pyGHDL dom Type pyGHDL dom Type Subtype Type}@anchor{9ce}
23706 @deffn {Property} Type:  pyVHDLModel.SyntaxModel.Subtype@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Subtype}
23708 @*Return type: 
23709 Subtype@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Subtype}
23711 @end deffn
23713 @geindex _position (pyGHDL.dom.Type.Subtype attribute)
23714 @anchor{pyGHDL/pyGHDL dom Type pyGHDL dom Type Subtype _position}@anchor{9cf}
23715 @deffn {Attribute} _position:  @ref{200,,pyGHDL.dom.Position}  =  None
23716 @end deffn
23718 @geindex _type (pyGHDL.dom.Type.Subtype attribute)
23719 @anchor{pyGHDL/pyGHDL dom Type pyGHDL dom Type Subtype _type}@anchor{9d0}
23720 @deffn {Attribute} _type:  @ref{239,,pyGHDL.dom.Type.Subtype}
23721 @end deffn
23723 @geindex _baseType (pyGHDL.dom.Type.Subtype attribute)
23724 @anchor{pyGHDL/pyGHDL dom Type pyGHDL dom Type Subtype _baseType}@anchor{9d1}
23725 @deffn {Attribute} _baseType:  pyVHDLModel.SyntaxModel.BaseType@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.BaseType}
23726 @end deffn
23728 @geindex _range (pyGHDL.dom.Type.Subtype attribute)
23729 @anchor{pyGHDL/pyGHDL dom Type pyGHDL dom Type Subtype _range}@anchor{9d2}
23730 @deffn {Attribute} _range:  @ref{21a,,pyGHDL.dom.Range.Range}
23731 @end deffn
23733 @geindex _resolutionFunction (pyGHDL.dom.Type.Subtype attribute)
23734 @anchor{pyGHDL/pyGHDL dom Type pyGHDL dom Type Subtype _resolutionFunction}@anchor{9d3}
23735 @deffn {Attribute} _resolutionFunction:  @ref{8cc,,pyGHDL.dom.Subprogram.Function}
23736 @end deffn
23738 @geindex _parent (pyGHDL.dom.Type.Subtype attribute)
23739 @anchor{pyGHDL/pyGHDL dom Type pyGHDL dom Type Subtype _parent}@anchor{9d4}
23740 @deffn {Attribute} _parent:  ModelEntity
23742 Reference to a parent entity in the model.
23743 @end deffn
23745 @geindex _identifier (pyGHDL.dom.Type.Subtype attribute)
23746 @anchor{pyGHDL/pyGHDL dom Type pyGHDL dom Type Subtype _identifier}@anchor{9d5}
23747 @deffn {Attribute} _identifier:  str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
23749 The identifier of a model entity.
23750 @end deffn
23752 @geindex _iirNode (pyGHDL.dom.Type.Subtype attribute)
23753 @anchor{pyGHDL/pyGHDL dom Type pyGHDL dom Type Subtype _iirNode}@anchor{9d6}
23754 @deffn {Attribute} _iirNode:  pyGHDL.libghdl._types.Iir
23755 @end deffn
23756 @end deffn
23758 @c # Load pre-defined aliases and graphical characters like © from docutils
23759 @c # <file> is used to denote the special path
23760 @c # <Python>\Lib\site-packages\docutils\parsers\rst\include
23762 @c This data file has been placed in the public domain.
23764 @c Derived from the Unicode character mappings available from
23765 @c <http://www.w3.org/2003/entities/xml/>.
23766 @c Processed by unicode2rstsubs.py, part of Docutils:
23767 @c <http://docutils.sourceforge.net>.
23769 @c This data file has been placed in the public domain.
23771 @c Derived from the Unicode character mappings available from
23772 @c <http://www.w3.org/2003/entities/xml/>.
23773 @c Processed by unicode2rstsubs.py, part of Docutils:
23774 @c <http://docutils.sourceforge.net>.
23776 @c # define a hard line break for HTML
23778 @node pyGHDL dom _Translate,pyGHDL dom _Utils,pyGHDL dom Type,pyGHDL dom
23779 @anchor{pyGHDL/pyGHDL dom _Translate doc}@anchor{9d7}@anchor{pyGHDL/pyGHDL dom _Translate module-pyGHDL dom _Translate}@anchor{5}@anchor{pyGHDL/pyGHDL dom _Translate pyghdl-dom-translate}@anchor{9d8}
23780 @subsection pyGHDL.dom._Translate
23783 @geindex module; pyGHDL.dom._Translate
23785 @c #-----------------------------------
23787 @strong{Functions}
23790 @itemize -
23792 @item 
23793 @ref{9d9,,GetNameFromNode()}:
23794 Undocumented.
23796 @item 
23797 @ref{9da,,GetArrayConstraintsFromSubtypeIndication()}:
23798 Undocumented.
23800 @item 
23801 @ref{9db,,GetTypeFromNode()}:
23802 Undocumented.
23804 @item 
23805 @ref{9dc,,GetAnonymousTypeFromNode()}:
23806 Undocumented.
23808 @item 
23809 @ref{9dd,,GetSubtypeIndicationFromNode()}:
23810 Undocumented.
23812 @item 
23813 @ref{9de,,GetSubtypeIndicationFromIndicationNode()}:
23814 Undocumented.
23816 @item 
23817 @ref{9df,,GetSimpleTypeFromNode()}:
23818 Undocumented.
23820 @item 
23821 @ref{9e0,,GetScalarConstrainedSubtypeFromNode()}:
23822 Undocumented.
23824 @item 
23825 @ref{9e1,,GetCompositeConstrainedSubtypeFromNode()}:
23826 Undocumented.
23828 @item 
23829 @ref{9e2,,GetSubtypeFromNode()}:
23830 Undocumented.
23832 @item 
23833 @ref{9e3,,GetRangeFromNode()}:
23834 Undocumented.
23836 @item 
23837 @ref{9e4,,GetExpressionFromNode()}:
23838 Undocumented.
23840 @item 
23841 @ref{9e5,,GetGenericsFromChainedNodes()}:
23842 Undocumented.
23844 @item 
23845 @ref{9e6,,GetPortsFromChainedNodes()}:
23846 Undocumented.
23848 @item 
23849 @ref{9e7,,GetParameterFromChainedNodes()}:
23850 Undocumented.
23851 @end itemize
23853 @c #-----------------------------------
23855 @strong{Functions}
23857 @geindex GetNameFromNode() (in module pyGHDL.dom._Translate)
23858 @anchor{pyGHDL/pyGHDL dom _Translate pyGHDL dom _Translate GetNameFromNode}@anchor{9d9}
23859 @deffn {Function} pyGHDL.dom._Translate.GetNameFromNode (node)
23861 @*Return type: 
23862 Name@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Name}
23864 @end deffn
23866 @geindex GetArrayConstraintsFromSubtypeIndication() (in module pyGHDL.dom._Translate)
23867 @anchor{pyGHDL/pyGHDL dom _Translate pyGHDL dom _Translate GetArrayConstraintsFromSubtypeIndication}@anchor{9da}
23868 @deffn {Function} pyGHDL.dom._Translate.GetArrayConstraintsFromSubtypeIndication (subtypeIndication)
23870 @*Return type: 
23871 List@footnote{https://docs.python.org/3.6/library/typing.html#typing.List}[Union@footnote{https://docs.python.org/3.6/library/typing.html#typing.Union}[@code{RangeExpression}, RangeAttribute@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.RangeAttribute}, RangeSubtype@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.RangeSubtype}]]
23873 @end deffn
23875 @geindex GetTypeFromNode() (in module pyGHDL.dom._Translate)
23876 @anchor{pyGHDL/pyGHDL dom _Translate pyGHDL dom _Translate GetTypeFromNode}@anchor{9db}
23877 @deffn {Function} pyGHDL.dom._Translate.GetTypeFromNode (node)
23879 @*Return type: 
23880 BaseType@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.BaseType}
23882 @end deffn
23884 @geindex GetAnonymousTypeFromNode() (in module pyGHDL.dom._Translate)
23885 @anchor{pyGHDL/pyGHDL dom _Translate pyGHDL dom _Translate GetAnonymousTypeFromNode}@anchor{9dc}
23886 @deffn {Function} pyGHDL.dom._Translate.GetAnonymousTypeFromNode (node)
23888 @*Return type: 
23889 BaseType@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.BaseType}
23891 @end deffn
23893 @geindex GetSubtypeIndicationFromNode() (in module pyGHDL.dom._Translate)
23894 @anchor{pyGHDL/pyGHDL dom _Translate pyGHDL dom _Translate GetSubtypeIndicationFromNode}@anchor{9dd}
23895 @deffn {Function} pyGHDL.dom._Translate.GetSubtypeIndicationFromNode (node, entity, name)
23897 @*Return type: 
23898 Union@footnote{https://docs.python.org/3.6/library/typing.html#typing.Union}[@ref{239,,Subtype}, SubtypeSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.SubtypeSymbol}]
23900 @end deffn
23902 @geindex GetSubtypeIndicationFromIndicationNode() (in module pyGHDL.dom._Translate)
23903 @anchor{pyGHDL/pyGHDL dom _Translate pyGHDL dom _Translate GetSubtypeIndicationFromIndicationNode}@anchor{9de}
23904 @deffn {Function} pyGHDL.dom._Translate.GetSubtypeIndicationFromIndicationNode (subtypeIndicationNode, entity, name)
23906 @*Return type: 
23907 Union@footnote{https://docs.python.org/3.6/library/typing.html#typing.Union}[@ref{239,,Subtype}, SubtypeSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.SubtypeSymbol}]
23909 @end deffn
23911 @geindex GetSimpleTypeFromNode() (in module pyGHDL.dom._Translate)
23912 @anchor{pyGHDL/pyGHDL dom _Translate pyGHDL dom _Translate GetSimpleTypeFromNode}@anchor{9df}
23913 @deffn {Function} pyGHDL.dom._Translate.GetSimpleTypeFromNode (subtypeIndicationNode)
23915 @*Return type: 
23916 @ref{8f7,,SimpleSubtypeSymbol}
23918 @end deffn
23920 @geindex GetScalarConstrainedSubtypeFromNode() (in module pyGHDL.dom._Translate)
23921 @anchor{pyGHDL/pyGHDL dom _Translate pyGHDL dom _Translate GetScalarConstrainedSubtypeFromNode}@anchor{9e0}
23922 @deffn {Function} pyGHDL.dom._Translate.GetScalarConstrainedSubtypeFromNode (subtypeIndicationNode)
23924 @*Return type: 
23925 @ref{8f8,,ConstrainedScalarSubtypeSymbol}
23927 @end deffn
23929 @geindex GetCompositeConstrainedSubtypeFromNode() (in module pyGHDL.dom._Translate)
23930 @anchor{pyGHDL/pyGHDL dom _Translate pyGHDL dom _Translate GetCompositeConstrainedSubtypeFromNode}@anchor{9e1}
23931 @deffn {Function} pyGHDL.dom._Translate.GetCompositeConstrainedSubtypeFromNode (subtypeIndicationNode)
23933 @*Return type: 
23934 @ref{8f9,,ConstrainedCompositeSubtypeSymbol}
23936 @end deffn
23938 @geindex GetSubtypeFromNode() (in module pyGHDL.dom._Translate)
23939 @anchor{pyGHDL/pyGHDL dom _Translate pyGHDL dom _Translate GetSubtypeFromNode}@anchor{9e2}
23940 @deffn {Function} pyGHDL.dom._Translate.GetSubtypeFromNode (subtypeNode)
23942 @*Return type: 
23943 Union@footnote{https://docs.python.org/3.6/library/typing.html#typing.Union}[@ref{239,,Subtype}, SubtypeSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.SubtypeSymbol}]
23945 @end deffn
23947 @geindex GetRangeFromNode() (in module pyGHDL.dom._Translate)
23948 @anchor{pyGHDL/pyGHDL dom _Translate pyGHDL dom _Translate GetRangeFromNode}@anchor{9e3}
23949 @deffn {Function} pyGHDL.dom._Translate.GetRangeFromNode (node)
23951 @*Return type: 
23952 @ref{21a,,Range}
23954 @end deffn
23956 @geindex GetExpressionFromNode() (in module pyGHDL.dom._Translate)
23957 @anchor{pyGHDL/pyGHDL dom _Translate pyGHDL dom _Translate GetExpressionFromNode}@anchor{9e4}
23958 @deffn {Function} pyGHDL.dom._Translate.GetExpressionFromNode (node)
23960 @*Return type: 
23961 Union@footnote{https://docs.python.org/3.6/library/typing.html#typing.Union}[BaseExpression, @ref{203,,QualifiedExpression}, FunctionCall, TypeConversion, Constant, ConstantSymbol, @ref{207,,Variable}, VariableSymbol, Signal, SignalSymbol, Literal]
23963 @end deffn
23965 @geindex GetGenericsFromChainedNodes() (in module pyGHDL.dom._Translate)
23966 @anchor{pyGHDL/pyGHDL dom _Translate pyGHDL dom _Translate GetGenericsFromChainedNodes}@anchor{9e5}
23967 @deffn {Function} pyGHDL.dom._Translate.GetGenericsFromChainedNodes (nodeChain)
23969 @*Return type: 
23970 Generator@footnote{https://docs.python.org/3.6/library/typing.html#typing.Generator}[GenericInterfaceItem@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.GenericInterfaceItem}, None@footnote{https://docs.python.org/3.6/library/constants.html#None}, None@footnote{https://docs.python.org/3.6/library/constants.html#None}]
23972 @end deffn
23974 @geindex GetPortsFromChainedNodes() (in module pyGHDL.dom._Translate)
23975 @anchor{pyGHDL/pyGHDL dom _Translate pyGHDL dom _Translate GetPortsFromChainedNodes}@anchor{9e6}
23976 @deffn {Function} pyGHDL.dom._Translate.GetPortsFromChainedNodes (nodeChain)
23978 @*Return type: 
23979 Generator@footnote{https://docs.python.org/3.6/library/typing.html#typing.Generator}[PortInterfaceItem@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.PortInterfaceItem}, None@footnote{https://docs.python.org/3.6/library/constants.html#None}, None@footnote{https://docs.python.org/3.6/library/constants.html#None}]
23981 @end deffn
23983 @geindex GetParameterFromChainedNodes() (in module pyGHDL.dom._Translate)
23984 @anchor{pyGHDL/pyGHDL dom _Translate pyGHDL dom _Translate GetParameterFromChainedNodes}@anchor{9e7}
23985 @deffn {Function} pyGHDL.dom._Translate.GetParameterFromChainedNodes (nodeChain)
23987 @*Return type: 
23988 Generator@footnote{https://docs.python.org/3.6/library/typing.html#typing.Generator}[ParameterInterfaceItem@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.ParameterInterfaceItem}, None@footnote{https://docs.python.org/3.6/library/constants.html#None}, None@footnote{https://docs.python.org/3.6/library/constants.html#None}]
23990 @end deffn
23992 @c # Load pre-defined aliases and graphical characters like © from docutils
23993 @c # <file> is used to denote the special path
23994 @c # <Python>\Lib\site-packages\docutils\parsers\rst\include
23996 @c This data file has been placed in the public domain.
23998 @c Derived from the Unicode character mappings available from
23999 @c <http://www.w3.org/2003/entities/xml/>.
24000 @c Processed by unicode2rstsubs.py, part of Docutils:
24001 @c <http://docutils.sourceforge.net>.
24003 @c This data file has been placed in the public domain.
24005 @c Derived from the Unicode character mappings available from
24006 @c <http://www.w3.org/2003/entities/xml/>.
24007 @c Processed by unicode2rstsubs.py, part of Docutils:
24008 @c <http://docutils.sourceforge.net>.
24010 @c # define a hard line break for HTML
24012 @node pyGHDL dom _Utils,pyGHDL dom formatting,pyGHDL dom _Translate,pyGHDL dom
24013 @anchor{pyGHDL/pyGHDL dom _Utils doc}@anchor{9e8}@anchor{pyGHDL/pyGHDL dom _Utils module-pyGHDL dom _Utils}@anchor{6}@anchor{pyGHDL/pyGHDL dom _Utils pyghdl-dom-utils}@anchor{9e9}
24014 @subsection pyGHDL.dom._Utils
24017 @geindex module; pyGHDL.dom._Utils
24019 @c #-----------------------------------
24021 @strong{Functions}
24024 @itemize -
24026 @item 
24027 @ref{9ea,,CheckForErrors()}:
24028 Undocumented.
24030 @item 
24031 @ref{9eb,,GetIirKindOfNode()}:
24032 Return the kind of a node in the IIR tree.
24034 @item 
24035 @ref{9ec,,GetNameOfNode()}:
24036 Return the python string from node @code{node} identifier.
24038 @item 
24039 @ref{9ed,,GetModeOfNode()}:
24040 Return the mode of a @code{node}.
24041 @end itemize
24043 @c #-----------------------------------
24045 @strong{Functions}
24047 @geindex CheckForErrors() (in module pyGHDL.dom._Utils)
24048 @anchor{pyGHDL/pyGHDL dom _Utils pyGHDL dom _Utils CheckForErrors}@anchor{9ea}
24049 @deffn {Function} pyGHDL.dom._Utils.CheckForErrors ()
24051 @*Return type: 
24052 None@footnote{https://docs.python.org/3.6/library/constants.html#None}
24054 @end deffn
24056 @geindex GetIirKindOfNode() (in module pyGHDL.dom._Utils)
24057 @anchor{pyGHDL/pyGHDL dom _Utils pyGHDL dom _Utils GetIirKindOfNode}@anchor{9eb}
24058 @deffn {Function} pyGHDL.dom._Utils.GetIirKindOfNode (node)
24060 Return the kind of a node in the IIR tree.
24062 @*Return type: 
24063 @ref{9ee,,Iir_Kind}
24065 @end deffn
24067 @geindex GetNameOfNode() (in module pyGHDL.dom._Utils)
24068 @anchor{pyGHDL/pyGHDL dom _Utils pyGHDL dom _Utils GetNameOfNode}@anchor{9ec}
24069 @deffn {Function} pyGHDL.dom._Utils.GetNameOfNode (node)
24071 Return the python string from node @code{node} identifier.
24073 @*Return type: 
24074 str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
24076 @end deffn
24078 @geindex GetModeOfNode() (in module pyGHDL.dom._Utils)
24079 @anchor{pyGHDL/pyGHDL dom _Utils pyGHDL dom _Utils GetModeOfNode}@anchor{9ed}
24080 @deffn {Function} pyGHDL.dom._Utils.GetModeOfNode (node)
24082 Return the mode of a @code{node}.
24084 @*Return type: 
24085 Mode@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.Mode}
24087 @end deffn
24089 @c # Load pre-defined aliases and graphical characters like © from docutils
24090 @c # <file> is used to denote the special path
24091 @c # <Python>\Lib\site-packages\docutils\parsers\rst\include
24093 @c This data file has been placed in the public domain.
24095 @c Derived from the Unicode character mappings available from
24096 @c <http://www.w3.org/2003/entities/xml/>.
24097 @c Processed by unicode2rstsubs.py, part of Docutils:
24098 @c <http://docutils.sourceforge.net>.
24100 @c This data file has been placed in the public domain.
24102 @c Derived from the Unicode character mappings available from
24103 @c <http://www.w3.org/2003/entities/xml/>.
24104 @c Processed by unicode2rstsubs.py, part of Docutils:
24105 @c <http://docutils.sourceforge.net>.
24107 @c # define a hard line break for HTML
24109 @node pyGHDL dom formatting,,pyGHDL dom _Utils,pyGHDL dom
24110 @anchor{pyGHDL/pyGHDL dom formatting doc}@anchor{9ef}@anchor{pyGHDL/pyGHDL dom formatting module-pyGHDL dom formatting}@anchor{c}@anchor{pyGHDL/pyGHDL dom formatting pyghdl-dom-formatting}@anchor{9f0}
24111 @subsection pyGHDL.dom.formatting
24114 @geindex module; pyGHDL.dom.formatting
24116 @c #-----------------------------------
24118 @strong{Submodules}
24120 @c # Load pre-defined aliases and graphical characters like © from docutils
24121 @c # <file> is used to denote the special path
24122 @c # <Python>\Lib\site-packages\docutils\parsers\rst\include
24124 @c This data file has been placed in the public domain.
24126 @c Derived from the Unicode character mappings available from
24127 @c <http://www.w3.org/2003/entities/xml/>.
24128 @c Processed by unicode2rstsubs.py, part of Docutils:
24129 @c <http://docutils.sourceforge.net>.
24131 @c This data file has been placed in the public domain.
24133 @c Derived from the Unicode character mappings available from
24134 @c <http://www.w3.org/2003/entities/xml/>.
24135 @c Processed by unicode2rstsubs.py, part of Docutils:
24136 @c <http://docutils.sourceforge.net>.
24138 @c # define a hard line break for HTML
24140 @menu
24141 * pyGHDL.dom.formatting.prettyprint: pyGHDL dom formatting prettyprint. 
24143 @end menu
24145 @node pyGHDL dom formatting prettyprint,,,pyGHDL dom formatting
24146 @anchor{pyGHDL/pyGHDL dom formatting prettyprint doc}@anchor{9f1}@anchor{pyGHDL/pyGHDL dom formatting prettyprint module-pyGHDL dom formatting prettyprint}@anchor{d}@anchor{pyGHDL/pyGHDL dom formatting prettyprint pyghdl-dom-formatting-prettyprint}@anchor{9f2}
24147 @subsubsection pyGHDL.dom.formatting.prettyprint
24150 @geindex module; pyGHDL.dom.formatting.prettyprint
24152 @c #-----------------------------------
24154 @strong{Exceptions}
24157 @itemize -
24159 @item 
24160 @ref{9f3,,PrettyPrintException}:
24161 Common base class for all non-exit exceptions.
24162 @end itemize
24164 @strong{Classes}
24167 @itemize -
24169 @item 
24170 @ref{9f4,,PrettyPrint}:
24171 Undocumented.
24172 @end itemize
24174 @c #-----------------------------------
24176 @geindex PrettyPrintException
24177 @anchor{pyGHDL/pyGHDL dom formatting prettyprint pyGHDL dom formatting prettyprint PrettyPrintException}@anchor{9f3}
24178 @deffn {Exception} pyGHDL.dom.formatting.prettyprint.PrettyPrintException
24180 @subsubheading Inheritance
24182 @image{inheritance-9a5cd1c232edda8ea3e4e442688f2af6c346be8c,,,[graphviz],png}
24184 @subsubheading Members
24187 @geindex args (pyGHDL.dom.formatting.prettyprint.PrettyPrintException attribute)
24188 @anchor{pyGHDL/pyGHDL dom formatting prettyprint pyGHDL dom formatting prettyprint PrettyPrintException args}@anchor{9f5}
24189 @deffn {Attribute} args
24190 @end deffn
24192 @geindex message (pyGHDL.dom.formatting.prettyprint.PrettyPrintException property)
24193 @anchor{pyGHDL/pyGHDL dom formatting prettyprint pyGHDL dom formatting prettyprint PrettyPrintException message}@anchor{9f6}
24194 @deffn {Property} message:  str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
24196 @*Return type: 
24197 str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
24199 @end deffn
24201 @geindex with_traceback() (pyGHDL.dom.formatting.prettyprint.PrettyPrintException method)
24202 @anchor{pyGHDL/pyGHDL dom formatting prettyprint pyGHDL dom formatting prettyprint PrettyPrintException with_traceback}@anchor{9f7}
24203 @deffn {Method} with_traceback ()
24205 Exception.with_traceback(tb) –
24206 set self.__traceback__ to tb and return self.
24207 @end deffn
24208 @end deffn
24210 @c #-----------------------------------
24212 @geindex PrettyPrint (class in pyGHDL.dom.formatting.prettyprint)
24213 @anchor{pyGHDL/pyGHDL dom formatting prettyprint pyGHDL dom formatting prettyprint PrettyPrint}@anchor{9f4}
24214 @deffn {Class} pyGHDL.dom.formatting.prettyprint.PrettyPrint
24216 @subsubheading Inheritance
24218 @image{inheritance-93e3ff65b7a5afee480d69c25c7c7561bd482534,,,[graphviz],png}
24220 @subsubheading Members
24223 @geindex formatDesign() (pyGHDL.dom.formatting.prettyprint.PrettyPrint method)
24224 @anchor{pyGHDL/pyGHDL dom formatting prettyprint pyGHDL dom formatting prettyprint PrettyPrint formatDesign}@anchor{9f8}
24225 @deffn {Method} formatDesign (design, level=0)
24227 @*Return type: 
24228 List@footnote{https://docs.python.org/3.6/library/typing.html#typing.List}[str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}]
24230 @end deffn
24232 @geindex formatLibrary() (pyGHDL.dom.formatting.prettyprint.PrettyPrint method)
24233 @anchor{pyGHDL/pyGHDL dom formatting prettyprint pyGHDL dom formatting prettyprint PrettyPrint formatLibrary}@anchor{9f9}
24234 @deffn {Method} formatLibrary (library, level=0)
24236 @*Return type: 
24237 List@footnote{https://docs.python.org/3.6/library/typing.html#typing.List}[str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}]
24239 @end deffn
24241 @geindex formatDocument() (pyGHDL.dom.formatting.prettyprint.PrettyPrint method)
24242 @anchor{pyGHDL/pyGHDL dom formatting prettyprint pyGHDL dom formatting prettyprint PrettyPrint formatDocument}@anchor{9fa}
24243 @deffn {Method} formatDocument (document, level=0)
24245 @*Return type: 
24246 List@footnote{https://docs.python.org/3.6/library/typing.html#typing.List}[str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}]
24248 @end deffn
24250 @geindex formatEntity() (pyGHDL.dom.formatting.prettyprint.PrettyPrint method)
24251 @anchor{pyGHDL/pyGHDL dom formatting prettyprint pyGHDL dom formatting prettyprint PrettyPrint formatEntity}@anchor{9fb}
24252 @deffn {Method} formatEntity (entity, level=0)
24254 @*Return type: 
24255 List@footnote{https://docs.python.org/3.6/library/typing.html#typing.List}[str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}]
24257 @end deffn
24259 @geindex formatArchitecture() (pyGHDL.dom.formatting.prettyprint.PrettyPrint method)
24260 @anchor{pyGHDL/pyGHDL dom formatting prettyprint pyGHDL dom formatting prettyprint PrettyPrint formatArchitecture}@anchor{9fc}
24261 @deffn {Method} formatArchitecture (architecture, level=0)
24263 @*Return type: 
24264 List@footnote{https://docs.python.org/3.6/library/typing.html#typing.List}[str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}]
24266 @end deffn
24268 @geindex formatComponent() (pyGHDL.dom.formatting.prettyprint.PrettyPrint method)
24269 @anchor{pyGHDL/pyGHDL dom formatting prettyprint pyGHDL dom formatting prettyprint PrettyPrint formatComponent}@anchor{9fd}
24270 @deffn {Method} formatComponent (component, level=0)
24272 @*Return type: 
24273 List@footnote{https://docs.python.org/3.6/library/typing.html#typing.List}[str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}]
24275 @end deffn
24277 @geindex formatPackage() (pyGHDL.dom.formatting.prettyprint.PrettyPrint method)
24278 @anchor{pyGHDL/pyGHDL dom formatting prettyprint pyGHDL dom formatting prettyprint PrettyPrint formatPackage}@anchor{9fe}
24279 @deffn {Method} formatPackage (package, level=0)
24281 @*Return type: 
24282 List@footnote{https://docs.python.org/3.6/library/typing.html#typing.List}[str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}]
24284 @end deffn
24286 @geindex formatPackageInstance() (pyGHDL.dom.formatting.prettyprint.PrettyPrint method)
24287 @anchor{pyGHDL/pyGHDL dom formatting prettyprint pyGHDL dom formatting prettyprint PrettyPrint formatPackageInstance}@anchor{9ff}
24288 @deffn {Method} formatPackageInstance (package, level=0)
24290 @*Return type: 
24291 List@footnote{https://docs.python.org/3.6/library/typing.html#typing.List}[str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}]
24293 @end deffn
24295 @geindex formatPackageBody() (pyGHDL.dom.formatting.prettyprint.PrettyPrint method)
24296 @anchor{pyGHDL/pyGHDL dom formatting prettyprint pyGHDL dom formatting prettyprint PrettyPrint formatPackageBody}@anchor{a00}
24297 @deffn {Method} formatPackageBody (packageBody, level=0)
24299 @*Return type: 
24300 List@footnote{https://docs.python.org/3.6/library/typing.html#typing.List}[str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}]
24302 @end deffn
24304 @geindex formatConfiguration() (pyGHDL.dom.formatting.prettyprint.PrettyPrint method)
24305 @anchor{pyGHDL/pyGHDL dom formatting prettyprint pyGHDL dom formatting prettyprint PrettyPrint formatConfiguration}@anchor{a01}
24306 @deffn {Method} formatConfiguration (configuration, level=0)
24308 @*Return type: 
24309 List@footnote{https://docs.python.org/3.6/library/typing.html#typing.List}[str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}]
24311 @end deffn
24313 @geindex formatContext() (pyGHDL.dom.formatting.prettyprint.PrettyPrint method)
24314 @anchor{pyGHDL/pyGHDL dom formatting prettyprint pyGHDL dom formatting prettyprint PrettyPrint formatContext}@anchor{a02}
24315 @deffn {Method} formatContext (context, level=0)
24317 @*Return type: 
24318 List@footnote{https://docs.python.org/3.6/library/typing.html#typing.List}[str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}]
24320 @end deffn
24322 @geindex formatGeneric() (pyGHDL.dom.formatting.prettyprint.PrettyPrint method)
24323 @anchor{pyGHDL/pyGHDL dom formatting prettyprint pyGHDL dom formatting prettyprint PrettyPrint formatGeneric}@anchor{a03}
24324 @deffn {Method} formatGeneric (generic, level=0)
24326 @*Return type: 
24327 List@footnote{https://docs.python.org/3.6/library/typing.html#typing.List}[str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}]
24329 @end deffn
24331 @geindex formatPort() (pyGHDL.dom.formatting.prettyprint.PrettyPrint method)
24332 @anchor{pyGHDL/pyGHDL dom formatting prettyprint pyGHDL dom formatting prettyprint PrettyPrint formatPort}@anchor{a04}
24333 @deffn {Method} formatPort (port, level=0)
24335 @*Return type: 
24336 List@footnote{https://docs.python.org/3.6/library/typing.html#typing.List}[str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}]
24338 @end deffn
24340 @geindex formatGenericConstant() (pyGHDL.dom.formatting.prettyprint.PrettyPrint method)
24341 @anchor{pyGHDL/pyGHDL dom formatting prettyprint pyGHDL dom formatting prettyprint PrettyPrint formatGenericConstant}@anchor{a05}
24342 @deffn {Method} formatGenericConstant (generic, level=0)
24344 @*Return type: 
24345 List@footnote{https://docs.python.org/3.6/library/typing.html#typing.List}[str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}]
24347 @end deffn
24349 @geindex formatGenericType() (pyGHDL.dom.formatting.prettyprint.PrettyPrint method)
24350 @anchor{pyGHDL/pyGHDL dom formatting prettyprint pyGHDL dom formatting prettyprint PrettyPrint formatGenericType}@anchor{a06}
24351 @deffn {Method} formatGenericType (generic, level=0)
24353 @*Return type: 
24354 List@footnote{https://docs.python.org/3.6/library/typing.html#typing.List}[str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}]
24356 @end deffn
24358 @geindex formatPortSignal() (pyGHDL.dom.formatting.prettyprint.PrettyPrint method)
24359 @anchor{pyGHDL/pyGHDL dom formatting prettyprint pyGHDL dom formatting prettyprint PrettyPrint formatPortSignal}@anchor{a07}
24360 @deffn {Method} formatPortSignal (port, level=0)
24362 @*Return type: 
24363 List@footnote{https://docs.python.org/3.6/library/typing.html#typing.List}[str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}]
24365 @end deffn
24367 @geindex formatDeclaredItems() (pyGHDL.dom.formatting.prettyprint.PrettyPrint method)
24368 @anchor{pyGHDL/pyGHDL dom formatting prettyprint pyGHDL dom formatting prettyprint PrettyPrint formatDeclaredItems}@anchor{a08}
24369 @deffn {Method} formatDeclaredItems (item, level=0)
24371 @*Return type: 
24372 List@footnote{https://docs.python.org/3.6/library/typing.html#typing.List}[str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}]
24374 @end deffn
24376 @geindex formatType() (pyGHDL.dom.formatting.prettyprint.PrettyPrint method)
24377 @anchor{pyGHDL/pyGHDL dom formatting prettyprint pyGHDL dom formatting prettyprint PrettyPrint formatType}@anchor{a09}
24378 @deffn {Method} formatType (item)
24380 @*Return type: 
24381 str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
24383 @end deffn
24385 @geindex formatSubtypeIndication() (pyGHDL.dom.formatting.prettyprint.PrettyPrint method)
24386 @anchor{pyGHDL/pyGHDL dom formatting prettyprint pyGHDL dom formatting prettyprint PrettyPrint formatSubtypeIndication}@anchor{a0a}
24387 @deffn {Method} formatSubtypeIndication (subtypeIndication, entity, name)
24389 @*Return type: 
24390 str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
24392 @end deffn
24394 @geindex formatInitialValue() (pyGHDL.dom.formatting.prettyprint.PrettyPrint method)
24395 @anchor{pyGHDL/pyGHDL dom formatting prettyprint pyGHDL dom formatting prettyprint PrettyPrint formatInitialValue}@anchor{a0b}
24396 @deffn {Method} formatInitialValue (item)
24398 @*Return type: 
24399 str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
24401 @end deffn
24403 @geindex formatHierarchy() (pyGHDL.dom.formatting.prettyprint.PrettyPrint method)
24404 @anchor{pyGHDL/pyGHDL dom formatting prettyprint pyGHDL dom formatting prettyprint PrettyPrint formatHierarchy}@anchor{a0c}
24405 @deffn {Method} formatHierarchy (statement, level=0)
24407 @*Return type: 
24408 List@footnote{https://docs.python.org/3.6/library/typing.html#typing.List}[str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}]
24410 @end deffn
24411 @end deffn
24413 @c #-----------------------------------
24415 @c #-----------------------------------
24417 @strong{Exceptions}
24420 @itemize -
24422 @item 
24423 @ref{a0d,,DOMException}:
24424 Common base class for all non-exit exceptions.
24425 @end itemize
24427 @strong{Classes}
24430 @itemize -
24432 @item 
24433 @ref{200,,Position}:
24434 Represents the source code position of a IIR node in a source file.
24436 @item 
24437 @ref{a0e,,DOMMixin}:
24438 Undocumented.
24439 @end itemize
24441 @c #-----------------------------------
24443 @geindex DOMException
24444 @anchor{pyGHDL/pyGHDL dom pyGHDL dom DOMException}@anchor{a0d}
24445 @deffn {Exception} pyGHDL.dom.DOMException
24447 @subsubheading Inheritance
24449 @image{inheritance-93f424b1ba474a6f928b2018f2248080e43f5ba3,,,[graphviz],png}
24451 @subsubheading Members
24454 @geindex args (pyGHDL.dom.DOMException attribute)
24455 @anchor{pyGHDL/pyGHDL dom pyGHDL dom DOMException args}@anchor{a0f}
24456 @deffn {Attribute} args
24457 @end deffn
24459 @geindex message (pyGHDL.dom.DOMException property)
24460 @anchor{pyGHDL/pyGHDL dom pyGHDL dom DOMException message}@anchor{a10}
24461 @deffn {Property} message:  str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
24463 @*Return type: 
24464 str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
24466 @end deffn
24468 @geindex with_traceback() (pyGHDL.dom.DOMException method)
24469 @anchor{pyGHDL/pyGHDL dom pyGHDL dom DOMException with_traceback}@anchor{a11}
24470 @deffn {Method} with_traceback ()
24472 Exception.with_traceback(tb) –
24473 set self.__traceback__ to tb and return self.
24474 @end deffn
24475 @end deffn
24477 @c #-----------------------------------
24479 @geindex Position (class in pyGHDL.dom)
24480 @anchor{pyGHDL/pyGHDL dom pyGHDL dom Position}@anchor{200}
24481 @deffn {Class} pyGHDL.dom.Position (filename, line, column)
24483 Represents the source code position of a IIR node in a source file.
24485 @subsubheading Inheritance
24487 @image{inheritance-4b5afd35d9df916605d322656e081f559d4a5272,,,[graphviz],png}
24489 @subsubheading Members
24492 @geindex _filename (pyGHDL.dom.Position attribute)
24493 @anchor{pyGHDL/pyGHDL dom pyGHDL dom Position _filename}@anchor{a12}
24494 @deffn {Attribute} _filename:  pathlib.Path@footnote{https://docs.python.org/3.6/library/pathlib.html#pathlib.Path}
24495 @end deffn
24497 @geindex _line (pyGHDL.dom.Position attribute)
24498 @anchor{pyGHDL/pyGHDL dom pyGHDL dom Position _line}@anchor{a13}
24499 @deffn {Attribute} _line:  int@footnote{https://docs.python.org/3.6/library/functions.html#int}
24500 @end deffn
24502 @geindex _column (pyGHDL.dom.Position attribute)
24503 @anchor{pyGHDL/pyGHDL dom pyGHDL dom Position _column}@anchor{a14}
24504 @deffn {Attribute} _column:  int@footnote{https://docs.python.org/3.6/library/functions.html#int}
24505 @end deffn
24507 @geindex parse() (pyGHDL.dom.Position class method)
24508 @anchor{pyGHDL/pyGHDL dom pyGHDL dom Position parse}@anchor{a15}
24509 @deffn {Method} classmethod  parse (node)
24511 Return the source code position of a IIR node.
24513 @*Return type: 
24514 @ref{200,,Position}
24516 @end deffn
24518 @geindex Filename (pyGHDL.dom.Position property)
24519 @anchor{pyGHDL/pyGHDL dom pyGHDL dom Position Filename}@anchor{a16}
24520 @deffn {Property} Filename:  pathlib.Path@footnote{https://docs.python.org/3.6/library/pathlib.html#pathlib.Path}
24522 @*Return type: 
24523 Path@footnote{https://docs.python.org/3.6/library/pathlib.html#pathlib.Path}
24525 @end deffn
24527 @geindex Line (pyGHDL.dom.Position property)
24528 @anchor{pyGHDL/pyGHDL dom pyGHDL dom Position Line}@anchor{a17}
24529 @deffn {Property} Line:  int@footnote{https://docs.python.org/3.6/library/functions.html#int}
24531 @*Return type: 
24532 int@footnote{https://docs.python.org/3.6/library/functions.html#int}
24534 @end deffn
24536 @geindex Column (pyGHDL.dom.Position property)
24537 @anchor{pyGHDL/pyGHDL dom pyGHDL dom Position Column}@anchor{a18}
24538 @deffn {Property} Column:  int@footnote{https://docs.python.org/3.6/library/functions.html#int}
24540 @*Return type: 
24541 int@footnote{https://docs.python.org/3.6/library/functions.html#int}
24543 @end deffn
24544 @end deffn
24546 @geindex DOMMixin (class in pyGHDL.dom)
24547 @anchor{pyGHDL/pyGHDL dom pyGHDL dom DOMMixin}@anchor{a0e}
24548 @deffn {Class} pyGHDL.dom.DOMMixin (node)
24550 @subsubheading Inheritance
24552 @image{inheritance-8cf43656846524342e366fbfbd5977a2f0c24e01,,,[graphviz],png}
24554 @subsubheading Members
24557 @geindex _position (pyGHDL.dom.DOMMixin attribute)
24558 @anchor{pyGHDL/pyGHDL dom pyGHDL dom DOMMixin _position}@anchor{a19}
24559 @deffn {Attribute} _position:  @ref{200,,pyGHDL.dom.Position}  =  None
24560 @end deffn
24562 @geindex _iirNode (pyGHDL.dom.DOMMixin attribute)
24563 @anchor{pyGHDL/pyGHDL dom pyGHDL dom DOMMixin _iirNode}@anchor{a1a}
24564 @deffn {Attribute} _iirNode:  pyGHDL.libghdl._types.Iir
24565 @end deffn
24567 @geindex Position (pyGHDL.dom.DOMMixin property)
24568 @anchor{pyGHDL/pyGHDL dom pyGHDL dom DOMMixin Position}@anchor{a1b}
24569 @deffn {Property} Position:  @ref{200,,pyGHDL.dom.Position}
24571 @*Return type: 
24572 @ref{200,,Position}
24574 @end deffn
24575 @end deffn
24577 @c # Load pre-defined aliases and graphical characters like © from docutils
24578 @c # <file> is used to denote the special path
24579 @c # <Python>\Lib\site-packages\docutils\parsers\rst\include
24581 @c This data file has been placed in the public domain.
24583 @c Derived from the Unicode character mappings available from
24584 @c <http://www.w3.org/2003/entities/xml/>.
24585 @c Processed by unicode2rstsubs.py, part of Docutils:
24586 @c <http://docutils.sourceforge.net>.
24588 @c This data file has been placed in the public domain.
24590 @c Derived from the Unicode character mappings available from
24591 @c <http://www.w3.org/2003/entities/xml/>.
24592 @c Processed by unicode2rstsubs.py, part of Docutils:
24593 @c <http://docutils.sourceforge.net>.
24595 @c # define a hard line break for HTML
24597 @node pyGHDL libghdl,pyGHDL lsp,pyGHDL dom,pyGHDL
24598 @anchor{pyGHDL/pyGHDL libghdl doc}@anchor{a1c}@anchor{pyGHDL/pyGHDL libghdl module-pyGHDL libghdl}@anchor{1a}@anchor{pyGHDL/pyGHDL libghdl pyghdl-libghdl}@anchor{a1d}
24599 @section pyGHDL.libghdl
24602 @geindex module; pyGHDL.libghdl
24604 @c #-----------------------------------
24606 @strong{Submodules}
24608 @c # Load pre-defined aliases and graphical characters like © from docutils
24609 @c # <file> is used to denote the special path
24610 @c # <Python>\Lib\site-packages\docutils\parsers\rst\include
24612 @c This data file has been placed in the public domain.
24614 @c Derived from the Unicode character mappings available from
24615 @c <http://www.w3.org/2003/entities/xml/>.
24616 @c Processed by unicode2rstsubs.py, part of Docutils:
24617 @c <http://docutils.sourceforge.net>.
24619 @c This data file has been placed in the public domain.
24621 @c Derived from the Unicode character mappings available from
24622 @c <http://www.w3.org/2003/entities/xml/>.
24623 @c Processed by unicode2rstsubs.py, part of Docutils:
24624 @c <http://docutils.sourceforge.net>.
24626 @c # define a hard line break for HTML
24628 @menu
24629 * pyGHDL.libghdl._decorator: pyGHDL libghdl _decorator. 
24630 * pyGHDL.libghdl._types: pyGHDL libghdl _types. 
24631 * pyGHDL.libghdl.errorout: pyGHDL libghdl errorout. 
24632 * pyGHDL.libghdl.errorout_console: pyGHDL libghdl errorout_console. 
24633 * pyGHDL.libghdl.errorout_memory: pyGHDL libghdl errorout_memory. 
24634 * pyGHDL.libghdl.files_map: pyGHDL libghdl files_map. 
24635 * pyGHDL.libghdl.files_map_editor: pyGHDL libghdl files_map_editor. 
24636 * pyGHDL.libghdl.flags: pyGHDL libghdl flags. 
24637 * pyGHDL.libghdl.libraries: pyGHDL libghdl libraries. 
24638 * pyGHDL.libghdl.name_table: pyGHDL libghdl name_table. 
24639 * pyGHDL.libghdl.std_names: pyGHDL libghdl std_names. 
24640 * pyGHDL.libghdl.str_table: pyGHDL libghdl str_table. 
24641 * pyGHDL.libghdl.utils: pyGHDL libghdl utils. 
24642 * pyGHDL.libghdl.vhdl: pyGHDL libghdl vhdl. 
24644 @end menu
24646 @node pyGHDL libghdl _decorator,pyGHDL libghdl _types,,pyGHDL libghdl
24647 @anchor{pyGHDL/pyGHDL libghdl _decorator doc}@anchor{a1e}@anchor{pyGHDL/pyGHDL libghdl _decorator module-pyGHDL libghdl _decorator}@anchor{1b}@anchor{pyGHDL/pyGHDL libghdl _decorator pyghdl-libghdl-decorator}@anchor{a1f}
24648 @subsection pyGHDL.libghdl._decorator
24651 @geindex module; pyGHDL.libghdl._decorator
24653 @c #-----------------------------------
24655 @strong{Functions}
24658 @itemize -
24660 @item 
24661 @ref{a20,,EnumLookupTable()}:
24662 Decorator to precalculate a enum lookup table (LUT) for enum position to
24663 @end itemize
24665 @c #-----------------------------------
24667 @strong{Functions}
24669 @geindex EnumLookupTable() (in module pyGHDL.libghdl._decorator)
24670 @anchor{pyGHDL/pyGHDL libghdl _decorator pyGHDL libghdl _decorator EnumLookupTable}@anchor{a20}
24671 @deffn {Function} pyGHDL.libghdl._decorator.EnumLookupTable (cls)
24673 Decorator to precalculate a enum lookup table (LUT) for enum position to
24674 enum literal name.
24676 @*Parameters: 
24677 @code{cls} – Enumerator class for which a LUT shall be pre-calculated.
24680 @*Return type: 
24681 Callable@footnote{https://docs.python.org/3.6/library/typing.html#typing.Callable}
24683 @end deffn
24685 @c # Load pre-defined aliases and graphical characters like © from docutils
24686 @c # <file> is used to denote the special path
24687 @c # <Python>\Lib\site-packages\docutils\parsers\rst\include
24689 @c This data file has been placed in the public domain.
24691 @c Derived from the Unicode character mappings available from
24692 @c <http://www.w3.org/2003/entities/xml/>.
24693 @c Processed by unicode2rstsubs.py, part of Docutils:
24694 @c <http://docutils.sourceforge.net>.
24696 @c This data file has been placed in the public domain.
24698 @c Derived from the Unicode character mappings available from
24699 @c <http://www.w3.org/2003/entities/xml/>.
24700 @c Processed by unicode2rstsubs.py, part of Docutils:
24701 @c <http://docutils.sourceforge.net>.
24703 @c # define a hard line break for HTML
24705 @node pyGHDL libghdl _types,pyGHDL libghdl errorout,pyGHDL libghdl _decorator,pyGHDL libghdl
24706 @anchor{pyGHDL/pyGHDL libghdl _types doc}@anchor{a21}@anchor{pyGHDL/pyGHDL libghdl _types module-pyGHDL libghdl _types}@anchor{1c}@anchor{pyGHDL/pyGHDL libghdl _types pyghdl-libghdl-types}@anchor{a22}
24707 @subsection pyGHDL.libghdl._types
24710 @geindex module; pyGHDL.libghdl._types
24712 @c #-----------------------------------
24714 @strong{Variables}
24717 @itemize -
24719 @item 
24720 @ref{a23,,ErrorIndex}
24722 @item 
24723 @ref{a24,,MessageIdWarnings}
24725 @item 
24726 @ref{a25,,NameId}
24728 @item 
24729 @ref{a26,,SourceFileEntry}
24731 @item 
24732 @ref{a27,,Iir}
24734 @item 
24735 @ref{a28,,IirKind}
24736 @end itemize
24738 @strong{Classes}
24741 @itemize -
24743 @item 
24744 @ref{a29,,TriStateType}:
24745 An enumeration.
24747 @item 
24748 @ref{a2a,,DirectionType}:
24749 An enumeration.
24750 @end itemize
24752 @geindex ErrorIndex (in module pyGHDL.libghdl._types)
24753 @anchor{pyGHDL/pyGHDL libghdl _types pyGHDL libghdl _types ErrorIndex}@anchor{a23}
24754 @deffn {Data} pyGHDL.libghdl._types.ErrorIndex
24756 @example
24757 ~ErrorIndex
24758 @end example
24760 alias of TypeVar(‘ErrorIndex’, bound=ctypes.c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
24761 @end deffn
24763 @geindex MessageIdWarnings (in module pyGHDL.libghdl._types)
24764 @anchor{pyGHDL/pyGHDL libghdl _types pyGHDL libghdl _types MessageIdWarnings}@anchor{a24}
24765 @deffn {Data} pyGHDL.libghdl._types.MessageIdWarnings
24767 @example
24768 ~MessageIdWarnings
24769 @end example
24771 alias of TypeVar(‘MessageIdWarnings’, bound=ctypes.c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
24772 @end deffn
24774 @geindex NameId (in module pyGHDL.libghdl._types)
24775 @anchor{pyGHDL/pyGHDL libghdl _types pyGHDL libghdl _types NameId}@anchor{a25}
24776 @deffn {Data} pyGHDL.libghdl._types.NameId
24778 @example
24779 ~NameId
24780 @end example
24782 alias of TypeVar(‘NameId’, bound=ctypes.c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
24783 @end deffn
24785 @geindex SourceFileEntry (in module pyGHDL.libghdl._types)
24786 @anchor{pyGHDL/pyGHDL libghdl _types pyGHDL libghdl _types SourceFileEntry}@anchor{a26}
24787 @deffn {Data} pyGHDL.libghdl._types.SourceFileEntry
24789 @example
24790 ~SourceFileEntry
24791 @end example
24793 alias of TypeVar(‘SourceFileEntry’, bound=ctypes.c_uint@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_uint})
24794 @end deffn
24796 @geindex Iir (in module pyGHDL.libghdl._types)
24797 @anchor{pyGHDL/pyGHDL libghdl _types pyGHDL libghdl _types Iir}@anchor{a27}
24798 @deffn {Data} pyGHDL.libghdl._types.Iir
24800 @example
24801 ~Iir
24802 @end example
24804 alias of TypeVar(‘Iir’, bound=ctypes.c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
24805 @end deffn
24807 @geindex IirKind (in module pyGHDL.libghdl._types)
24808 @anchor{pyGHDL/pyGHDL libghdl _types pyGHDL libghdl _types IirKind}@anchor{a28}
24809 @deffn {Data} pyGHDL.libghdl._types.IirKind
24811 @example
24812 ~IirKind
24813 @end example
24815 alias of TypeVar(‘IirKind’, bound=ctypes.c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
24816 @end deffn
24818 @c #-----------------------------------
24820 @geindex TriStateType (class in pyGHDL.libghdl._types)
24821 @anchor{pyGHDL/pyGHDL libghdl _types pyGHDL libghdl _types TriStateType}@anchor{a29}
24822 @deffn {Class} pyGHDL.libghdl._types.TriStateType (value)
24824 An enumeration.
24826 @subsubheading Inheritance
24828 @image{inheritance-2146dfdb7eece2ba4aaccb290cc0f8e2057bb356,,,[graphviz],png}
24830 @subsubheading Members
24833 @geindex Unknown (pyGHDL.libghdl._types.TriStateType attribute)
24834 @anchor{pyGHDL/pyGHDL libghdl _types pyGHDL libghdl _types TriStateType Unknown}@anchor{a2b}
24835 @deffn {Attribute} Unknown  =  0
24836 @end deffn
24838 @geindex TFalse (pyGHDL.libghdl._types.TriStateType attribute)
24839 @anchor{pyGHDL/pyGHDL libghdl _types pyGHDL libghdl _types TriStateType TFalse}@anchor{a2c}
24840 @deffn {Attribute} TFalse  =  1
24841 @end deffn
24843 @geindex TTrue (pyGHDL.libghdl._types.TriStateType attribute)
24844 @anchor{pyGHDL/pyGHDL libghdl _types pyGHDL libghdl _types TriStateType TTrue}@anchor{a2d}
24845 @deffn {Attribute} TTrue  =  2
24846 @end deffn
24847 @end deffn
24849 @geindex DirectionType (class in pyGHDL.libghdl._types)
24850 @anchor{pyGHDL/pyGHDL libghdl _types pyGHDL libghdl _types DirectionType}@anchor{a2a}
24851 @deffn {Class} pyGHDL.libghdl._types.DirectionType (value)
24853 An enumeration.
24855 @subsubheading Inheritance
24857 @image{inheritance-2f092a54a31bc37880c2d50a4dcdeb26e629226e,,,[graphviz],png}
24859 @subsubheading Members
24862 @geindex To (pyGHDL.libghdl._types.DirectionType attribute)
24863 @anchor{pyGHDL/pyGHDL libghdl _types pyGHDL libghdl _types DirectionType To}@anchor{a2e}
24864 @deffn {Attribute} To  =  0
24865 @end deffn
24867 @geindex Downto (pyGHDL.libghdl._types.DirectionType attribute)
24868 @anchor{pyGHDL/pyGHDL libghdl _types pyGHDL libghdl _types DirectionType Downto}@anchor{a2f}
24869 @deffn {Attribute} Downto  =  1
24870 @end deffn
24871 @end deffn
24873 @c # Load pre-defined aliases and graphical characters like © from docutils
24874 @c # <file> is used to denote the special path
24875 @c # <Python>\Lib\site-packages\docutils\parsers\rst\include
24877 @c This data file has been placed in the public domain.
24879 @c Derived from the Unicode character mappings available from
24880 @c <http://www.w3.org/2003/entities/xml/>.
24881 @c Processed by unicode2rstsubs.py, part of Docutils:
24882 @c <http://docutils.sourceforge.net>.
24884 @c This data file has been placed in the public domain.
24886 @c Derived from the Unicode character mappings available from
24887 @c <http://www.w3.org/2003/entities/xml/>.
24888 @c Processed by unicode2rstsubs.py, part of Docutils:
24889 @c <http://docutils.sourceforge.net>.
24891 @c # define a hard line break for HTML
24893 @node pyGHDL libghdl errorout,pyGHDL libghdl errorout_console,pyGHDL libghdl _types,pyGHDL libghdl
24894 @anchor{pyGHDL/pyGHDL libghdl errorout doc}@anchor{a30}@anchor{pyGHDL/pyGHDL libghdl errorout module-pyGHDL libghdl errorout}@anchor{1d}@anchor{pyGHDL/pyGHDL libghdl errorout pyghdl-libghdl-errorout}@anchor{a31}
24895 @subsection pyGHDL.libghdl.errorout
24898 @geindex module; pyGHDL.libghdl.errorout
24900 @c #-----------------------------------
24902 @strong{Classes}
24905 @itemize -
24907 @item 
24908 @ref{a32,,Msgid}:
24909 An enumeration.
24910 @end itemize
24912 @strong{Functions}
24915 @itemize -
24917 @item 
24918 @ref{a33,,Enable_Warning()}:
24919 @end itemize
24921 @c #-----------------------------------
24923 @geindex Msgid (class in pyGHDL.libghdl.errorout)
24924 @anchor{pyGHDL/pyGHDL libghdl errorout pyGHDL libghdl errorout Msgid}@anchor{a32}
24925 @deffn {Class} pyGHDL.libghdl.errorout.Msgid (value)
24927 An enumeration.
24929 @subsubheading Inheritance
24931 @image{inheritance-009eddda2873b0b151195c04619c3258fb6df51b,,,[graphviz],png}
24933 @subsubheading Members
24936 @geindex Msgid_Note (pyGHDL.libghdl.errorout.Msgid attribute)
24937 @anchor{pyGHDL/pyGHDL libghdl errorout pyGHDL libghdl errorout Msgid Msgid_Note}@anchor{a34}
24938 @deffn {Attribute} Msgid_Note  =  0
24939 @end deffn
24941 @geindex Warnid_Library (pyGHDL.libghdl.errorout.Msgid attribute)
24942 @anchor{pyGHDL/pyGHDL libghdl errorout pyGHDL libghdl errorout Msgid Warnid_Library}@anchor{a35}
24943 @deffn {Attribute} Warnid_Library  =  1
24944 @end deffn
24946 @geindex Warnid_Deprecated_Option (pyGHDL.libghdl.errorout.Msgid attribute)
24947 @anchor{pyGHDL/pyGHDL libghdl errorout pyGHDL libghdl errorout Msgid Warnid_Deprecated_Option}@anchor{a36}
24948 @deffn {Attribute} Warnid_Deprecated_Option  =  2
24949 @end deffn
24951 @geindex Warnid_Unexpected_Option (pyGHDL.libghdl.errorout.Msgid attribute)
24952 @anchor{pyGHDL/pyGHDL libghdl errorout pyGHDL libghdl errorout Msgid Warnid_Unexpected_Option}@anchor{a37}
24953 @deffn {Attribute} Warnid_Unexpected_Option  =  3
24954 @end deffn
24956 @geindex Warnid_Missing_Xref (pyGHDL.libghdl.errorout.Msgid attribute)
24957 @anchor{pyGHDL/pyGHDL libghdl errorout pyGHDL libghdl errorout Msgid Warnid_Missing_Xref}@anchor{a38}
24958 @deffn {Attribute} Warnid_Missing_Xref  =  4
24959 @end deffn
24961 @geindex Warnid_Default_Binding (pyGHDL.libghdl.errorout.Msgid attribute)
24962 @anchor{pyGHDL/pyGHDL libghdl errorout pyGHDL libghdl errorout Msgid Warnid_Default_Binding}@anchor{a39}
24963 @deffn {Attribute} Warnid_Default_Binding  =  5
24964 @end deffn
24966 @geindex Warnid_Binding (pyGHDL.libghdl.errorout.Msgid attribute)
24967 @anchor{pyGHDL/pyGHDL libghdl errorout pyGHDL libghdl errorout Msgid Warnid_Binding}@anchor{a3a}
24968 @deffn {Attribute} Warnid_Binding  =  6
24969 @end deffn
24971 @geindex Warnid_Port (pyGHDL.libghdl.errorout.Msgid attribute)
24972 @anchor{pyGHDL/pyGHDL libghdl errorout pyGHDL libghdl errorout Msgid Warnid_Port}@anchor{a3b}
24973 @deffn {Attribute} Warnid_Port  =  7
24974 @end deffn
24976 @geindex Warnid_Reserved_Word (pyGHDL.libghdl.errorout.Msgid attribute)
24977 @anchor{pyGHDL/pyGHDL libghdl errorout pyGHDL libghdl errorout Msgid Warnid_Reserved_Word}@anchor{a3c}
24978 @deffn {Attribute} Warnid_Reserved_Word  =  8
24979 @end deffn
24981 @geindex Warnid_Pragma (pyGHDL.libghdl.errorout.Msgid attribute)
24982 @anchor{pyGHDL/pyGHDL libghdl errorout pyGHDL libghdl errorout Msgid Warnid_Pragma}@anchor{a3d}
24983 @deffn {Attribute} Warnid_Pragma  =  9
24984 @end deffn
24986 @geindex Warnid_Nested_Comment (pyGHDL.libghdl.errorout.Msgid attribute)
24987 @anchor{pyGHDL/pyGHDL libghdl errorout pyGHDL libghdl errorout Msgid Warnid_Nested_Comment}@anchor{a3e}
24988 @deffn {Attribute} Warnid_Nested_Comment  =  10
24989 @end deffn
24991 @geindex Warnid_Directive (pyGHDL.libghdl.errorout.Msgid attribute)
24992 @anchor{pyGHDL/pyGHDL libghdl errorout pyGHDL libghdl errorout Msgid Warnid_Directive}@anchor{a3f}
24993 @deffn {Attribute} Warnid_Directive  =  11
24994 @end deffn
24996 @geindex Warnid_Parenthesis (pyGHDL.libghdl.errorout.Msgid attribute)
24997 @anchor{pyGHDL/pyGHDL libghdl errorout pyGHDL libghdl errorout Msgid Warnid_Parenthesis}@anchor{a40}
24998 @deffn {Attribute} Warnid_Parenthesis  =  12
24999 @end deffn
25001 @geindex Warnid_Vital_Generic (pyGHDL.libghdl.errorout.Msgid attribute)
25002 @anchor{pyGHDL/pyGHDL libghdl errorout pyGHDL libghdl errorout Msgid Warnid_Vital_Generic}@anchor{a41}
25003 @deffn {Attribute} Warnid_Vital_Generic  =  13
25004 @end deffn
25006 @geindex Warnid_Delayed_Checks (pyGHDL.libghdl.errorout.Msgid attribute)
25007 @anchor{pyGHDL/pyGHDL libghdl errorout pyGHDL libghdl errorout Msgid Warnid_Delayed_Checks}@anchor{a42}
25008 @deffn {Attribute} Warnid_Delayed_Checks  =  14
25009 @end deffn
25011 @geindex Warnid_Body (pyGHDL.libghdl.errorout.Msgid attribute)
25012 @anchor{pyGHDL/pyGHDL libghdl errorout pyGHDL libghdl errorout Msgid Warnid_Body}@anchor{a43}
25013 @deffn {Attribute} Warnid_Body  =  15
25014 @end deffn
25016 @geindex Warnid_Specs (pyGHDL.libghdl.errorout.Msgid attribute)
25017 @anchor{pyGHDL/pyGHDL libghdl errorout pyGHDL libghdl errorout Msgid Warnid_Specs}@anchor{a44}
25018 @deffn {Attribute} Warnid_Specs  =  16
25019 @end deffn
25021 @geindex Warnid_Universal (pyGHDL.libghdl.errorout.Msgid attribute)
25022 @anchor{pyGHDL/pyGHDL libghdl errorout pyGHDL libghdl errorout Msgid Warnid_Universal}@anchor{a45}
25023 @deffn {Attribute} Warnid_Universal  =  17
25024 @end deffn
25026 @geindex Warnid_Port_Bounds (pyGHDL.libghdl.errorout.Msgid attribute)
25027 @anchor{pyGHDL/pyGHDL libghdl errorout pyGHDL libghdl errorout Msgid Warnid_Port_Bounds}@anchor{a46}
25028 @deffn {Attribute} Warnid_Port_Bounds  =  18
25029 @end deffn
25031 @geindex Warnid_Runtime_Error (pyGHDL.libghdl.errorout.Msgid attribute)
25032 @anchor{pyGHDL/pyGHDL libghdl errorout pyGHDL libghdl errorout Msgid Warnid_Runtime_Error}@anchor{a47}
25033 @deffn {Attribute} Warnid_Runtime_Error  =  19
25034 @end deffn
25036 @geindex Warnid_Delta_Cycle (pyGHDL.libghdl.errorout.Msgid attribute)
25037 @anchor{pyGHDL/pyGHDL libghdl errorout pyGHDL libghdl errorout Msgid Warnid_Delta_Cycle}@anchor{a48}
25038 @deffn {Attribute} Warnid_Delta_Cycle  =  20
25039 @end deffn
25041 @geindex Warnid_No_Wait (pyGHDL.libghdl.errorout.Msgid attribute)
25042 @anchor{pyGHDL/pyGHDL libghdl errorout pyGHDL libghdl errorout Msgid Warnid_No_Wait}@anchor{a49}
25043 @deffn {Attribute} Warnid_No_Wait  =  21
25044 @end deffn
25046 @geindex Warnid_Shared (pyGHDL.libghdl.errorout.Msgid attribute)
25047 @anchor{pyGHDL/pyGHDL libghdl errorout pyGHDL libghdl errorout Msgid Warnid_Shared}@anchor{a4a}
25048 @deffn {Attribute} Warnid_Shared  =  22
25049 @end deffn
25051 @geindex Warnid_Hide (pyGHDL.libghdl.errorout.Msgid attribute)
25052 @anchor{pyGHDL/pyGHDL libghdl errorout pyGHDL libghdl errorout Msgid Warnid_Hide}@anchor{a4b}
25053 @deffn {Attribute} Warnid_Hide  =  23
25054 @end deffn
25056 @geindex Warnid_Unused (pyGHDL.libghdl.errorout.Msgid attribute)
25057 @anchor{pyGHDL/pyGHDL libghdl errorout pyGHDL libghdl errorout Msgid Warnid_Unused}@anchor{a4c}
25058 @deffn {Attribute} Warnid_Unused  =  24
25059 @end deffn
25061 @geindex Warnid_Others (pyGHDL.libghdl.errorout.Msgid attribute)
25062 @anchor{pyGHDL/pyGHDL libghdl errorout pyGHDL libghdl errorout Msgid Warnid_Others}@anchor{a4d}
25063 @deffn {Attribute} Warnid_Others  =  25
25064 @end deffn
25066 @geindex Warnid_Pure (pyGHDL.libghdl.errorout.Msgid attribute)
25067 @anchor{pyGHDL/pyGHDL libghdl errorout pyGHDL libghdl errorout Msgid Warnid_Pure}@anchor{a4e}
25068 @deffn {Attribute} Warnid_Pure  =  26
25069 @end deffn
25071 @geindex Warnid_Analyze_Assert (pyGHDL.libghdl.errorout.Msgid attribute)
25072 @anchor{pyGHDL/pyGHDL libghdl errorout pyGHDL libghdl errorout Msgid Warnid_Analyze_Assert}@anchor{a4f}
25073 @deffn {Attribute} Warnid_Analyze_Assert  =  27
25074 @end deffn
25076 @geindex Warnid_Attribute (pyGHDL.libghdl.errorout.Msgid attribute)
25077 @anchor{pyGHDL/pyGHDL libghdl errorout pyGHDL libghdl errorout Msgid Warnid_Attribute}@anchor{a50}
25078 @deffn {Attribute} Warnid_Attribute  =  28
25079 @end deffn
25081 @geindex Warnid_Useless (pyGHDL.libghdl.errorout.Msgid attribute)
25082 @anchor{pyGHDL/pyGHDL libghdl errorout pyGHDL libghdl errorout Msgid Warnid_Useless}@anchor{a51}
25083 @deffn {Attribute} Warnid_Useless  =  29
25084 @end deffn
25086 @geindex Warnid_Static (pyGHDL.libghdl.errorout.Msgid attribute)
25087 @anchor{pyGHDL/pyGHDL libghdl errorout pyGHDL libghdl errorout Msgid Warnid_Static}@anchor{a52}
25088 @deffn {Attribute} Warnid_Static  =  30
25089 @end deffn
25091 @geindex Msgid_Warning (pyGHDL.libghdl.errorout.Msgid attribute)
25092 @anchor{pyGHDL/pyGHDL libghdl errorout pyGHDL libghdl errorout Msgid Msgid_Warning}@anchor{a53}
25093 @deffn {Attribute} Msgid_Warning  =  31
25094 @end deffn
25096 @geindex Msgid_Error (pyGHDL.libghdl.errorout.Msgid attribute)
25097 @anchor{pyGHDL/pyGHDL libghdl errorout pyGHDL libghdl errorout Msgid Msgid_Error}@anchor{a54}
25098 @deffn {Attribute} Msgid_Error  =  32
25099 @end deffn
25101 @geindex Msgid_Fatal (pyGHDL.libghdl.errorout.Msgid attribute)
25102 @anchor{pyGHDL/pyGHDL libghdl errorout pyGHDL libghdl errorout Msgid Msgid_Fatal}@anchor{a55}
25103 @deffn {Attribute} Msgid_Fatal  =  33
25104 @end deffn
25105 @end deffn
25107 @c #-----------------------------------
25109 @strong{Functions}
25111 @geindex Enable_Warning() (in module pyGHDL.libghdl.errorout)
25112 @anchor{pyGHDL/pyGHDL libghdl errorout pyGHDL libghdl errorout Enable_Warning}@anchor{a33}
25113 @deffn {Function} pyGHDL.libghdl.errorout.Enable_Warning (Id, Enable)
25115 @*Return type: 
25116 None@footnote{https://docs.python.org/3.6/library/constants.html#None}
25118 @end deffn
25120 @c # Load pre-defined aliases and graphical characters like © from docutils
25121 @c # <file> is used to denote the special path
25122 @c # <Python>\Lib\site-packages\docutils\parsers\rst\include
25124 @c This data file has been placed in the public domain.
25126 @c Derived from the Unicode character mappings available from
25127 @c <http://www.w3.org/2003/entities/xml/>.
25128 @c Processed by unicode2rstsubs.py, part of Docutils:
25129 @c <http://docutils.sourceforge.net>.
25131 @c This data file has been placed in the public domain.
25133 @c Derived from the Unicode character mappings available from
25134 @c <http://www.w3.org/2003/entities/xml/>.
25135 @c Processed by unicode2rstsubs.py, part of Docutils:
25136 @c <http://docutils.sourceforge.net>.
25138 @c # define a hard line break for HTML
25140 @node pyGHDL libghdl errorout_console,pyGHDL libghdl errorout_memory,pyGHDL libghdl errorout,pyGHDL libghdl
25141 @anchor{pyGHDL/pyGHDL libghdl errorout_console doc}@anchor{a56}@anchor{pyGHDL/pyGHDL libghdl errorout_console module-pyGHDL libghdl errorout_console}@anchor{1e}@anchor{pyGHDL/pyGHDL libghdl errorout_console pyghdl-libghdl-errorout-console}@anchor{a57}
25142 @subsection pyGHDL.libghdl.errorout_console
25145 @geindex module; pyGHDL.libghdl.errorout_console
25147 @c #-----------------------------------
25149 @strong{Functions}
25152 @itemize -
25154 @item 
25155 @ref{a58,,Install_Handler()}:
25156 Install the handlers for reporting errors.
25157 @end itemize
25159 @c #-----------------------------------
25161 @strong{Functions}
25163 @geindex Install_Handler() (in module pyGHDL.libghdl.errorout_console)
25164 @anchor{pyGHDL/pyGHDL libghdl errorout_console pyGHDL libghdl errorout_console Install_Handler}@anchor{a58}
25165 @deffn {Function} pyGHDL.libghdl.errorout_console.Install_Handler ()
25167 Install the handlers for reporting errors.
25169 @*Return type: 
25170 None@footnote{https://docs.python.org/3.6/library/constants.html#None}
25172 @end deffn
25174 @c # Load pre-defined aliases and graphical characters like © from docutils
25175 @c # <file> is used to denote the special path
25176 @c # <Python>\Lib\site-packages\docutils\parsers\rst\include
25178 @c This data file has been placed in the public domain.
25180 @c Derived from the Unicode character mappings available from
25181 @c <http://www.w3.org/2003/entities/xml/>.
25182 @c Processed by unicode2rstsubs.py, part of Docutils:
25183 @c <http://docutils.sourceforge.net>.
25185 @c This data file has been placed in the public domain.
25187 @c Derived from the Unicode character mappings available from
25188 @c <http://www.w3.org/2003/entities/xml/>.
25189 @c Processed by unicode2rstsubs.py, part of Docutils:
25190 @c <http://docutils.sourceforge.net>.
25192 @c # define a hard line break for HTML
25194 @node pyGHDL libghdl errorout_memory,pyGHDL libghdl files_map,pyGHDL libghdl errorout_console,pyGHDL libghdl
25195 @anchor{pyGHDL/pyGHDL libghdl errorout_memory doc}@anchor{a59}@anchor{pyGHDL/pyGHDL libghdl errorout_memory module-pyGHDL libghdl errorout_memory}@anchor{1f}@anchor{pyGHDL/pyGHDL libghdl errorout_memory pyghdl-libghdl-errorout-memory}@anchor{a5a}
25196 @subsection pyGHDL.libghdl.errorout_memory
25199 @geindex module; pyGHDL.libghdl.errorout_memory
25201 @c #-----------------------------------
25203 @strong{Classes}
25206 @itemize -
25208 @item 
25209 @ref{a5b,,Error_Message}:
25210 Id : Msgid_Type
25211 @end itemize
25213 @strong{Functions}
25216 @itemize -
25218 @item 
25219 @ref{a5c,,Install_Handler()}:
25220 Install the handlers for reporting errors.
25222 @item 
25223 @ref{a5d,,Get_Nbr_Messages()}:
25224 Get number of error messages available.
25226 @item 
25227 @ref{a5e,,Get_Error_Record()}:
25228 Get error messages by index @code{Idy} as structure @ref{a5b,,Error_Message}.
25230 @item 
25231 @ref{a5f,,Get_Error_Message()}:
25232 Get error messages by index @code{Idx} as string.
25234 @item 
25235 @ref{a60,,Clear_Errors()}:
25236 Remove all error messages.
25237 @end itemize
25239 @c #-----------------------------------
25241 @geindex Error_Message (class in pyGHDL.libghdl.errorout_memory)
25242 @anchor{pyGHDL/pyGHDL libghdl errorout_memory pyGHDL libghdl errorout_memory Error_Message}@anchor{a5b}
25243 @deffn {Class} pyGHDL.libghdl.errorout_memory.Error_Message
25246 @table @asis
25248 @item Id : Msgid_Type
25250 Message error/warning id
25252 @item Group : Group_Type;
25254 Whether this is an single message or a related one.
25256 @item File : Source_File_Entry;
25258 Error soure file.
25260 @item Line : Natural;
25262 The first line is line 1, 0 can be used when line number is not relevant.
25264 @item Offset : Natural;
25266 Offset in the line.  The first character is at offset 0.
25268 @item Length : Natural;
25270 Length of the location (for a range). It is assumed to be on the same line;
25271 use 0 when unknown.
25272 @end table
25274 @subsubheading Inheritance
25276 @image{inheritance-a53f6e52ecf0567bea2c25e19765b87556145edc,,,[graphviz],png}
25278 @subsubheading Members
25281 @geindex _fields_ (pyGHDL.libghdl.errorout_memory.Error_Message attribute)
25282 @anchor{pyGHDL/pyGHDL libghdl errorout_memory pyGHDL libghdl errorout_memory Error_Message _fields_}@anchor{a61}
25283 @deffn {Attribute} _fields_  =  [('id', <class 'ctypes.c_byte'>), ('group', <class 'ctypes.c_byte'>), ('file', <class 'ctypes.c_int'>), ('line', <class 'ctypes.c_int'>), ('offset', <class 'ctypes.c_int'>), ('length', <class 'ctypes.c_int'>)]
25284 @end deffn
25286 @geindex _b_base_ (pyGHDL.libghdl.errorout_memory.Error_Message attribute)
25287 @anchor{pyGHDL/pyGHDL libghdl errorout_memory pyGHDL libghdl errorout_memory Error_Message _b_base_}@anchor{a62}
25288 @deffn {Attribute} _b_base_
25290 the base object
25291 @end deffn
25293 @geindex _b_needsfree_ (pyGHDL.libghdl.errorout_memory.Error_Message attribute)
25294 @anchor{pyGHDL/pyGHDL libghdl errorout_memory pyGHDL libghdl errorout_memory Error_Message _b_needsfree_}@anchor{a63}
25295 @deffn {Attribute} _b_needsfree_
25297 whether the object owns the memory or not
25298 @end deffn
25300 @geindex _objects (pyGHDL.libghdl.errorout_memory.Error_Message attribute)
25301 @anchor{pyGHDL/pyGHDL libghdl errorout_memory pyGHDL libghdl errorout_memory Error_Message _objects}@anchor{a64}
25302 @deffn {Attribute} _objects
25304 internal objects tree (NEVER CHANGE THIS OBJECT!)
25305 @end deffn
25307 @geindex file (pyGHDL.libghdl.errorout_memory.Error_Message attribute)
25308 @anchor{pyGHDL/pyGHDL libghdl errorout_memory pyGHDL libghdl errorout_memory Error_Message file}@anchor{a65}
25309 @deffn {Attribute} file
25311 Structure/Union member
25312 @end deffn
25314 @geindex group (pyGHDL.libghdl.errorout_memory.Error_Message attribute)
25315 @anchor{pyGHDL/pyGHDL libghdl errorout_memory pyGHDL libghdl errorout_memory Error_Message group}@anchor{a66}
25316 @deffn {Attribute} group
25318 Structure/Union member
25319 @end deffn
25321 @geindex id (pyGHDL.libghdl.errorout_memory.Error_Message attribute)
25322 @anchor{pyGHDL/pyGHDL libghdl errorout_memory pyGHDL libghdl errorout_memory Error_Message id}@anchor{a67}
25323 @deffn {Attribute} id
25325 Structure/Union member
25326 @end deffn
25328 @geindex length (pyGHDL.libghdl.errorout_memory.Error_Message attribute)
25329 @anchor{pyGHDL/pyGHDL libghdl errorout_memory pyGHDL libghdl errorout_memory Error_Message length}@anchor{a68}
25330 @deffn {Attribute} length
25332 Structure/Union member
25333 @end deffn
25335 @geindex line (pyGHDL.libghdl.errorout_memory.Error_Message attribute)
25336 @anchor{pyGHDL/pyGHDL libghdl errorout_memory pyGHDL libghdl errorout_memory Error_Message line}@anchor{a69}
25337 @deffn {Attribute} line
25339 Structure/Union member
25340 @end deffn
25342 @geindex offset (pyGHDL.libghdl.errorout_memory.Error_Message attribute)
25343 @anchor{pyGHDL/pyGHDL libghdl errorout_memory pyGHDL libghdl errorout_memory Error_Message offset}@anchor{a6a}
25344 @deffn {Attribute} offset
25346 Structure/Union member
25347 @end deffn
25348 @end deffn
25350 @c #-----------------------------------
25352 @strong{Functions}
25354 @geindex Install_Handler() (in module pyGHDL.libghdl.errorout_memory)
25355 @anchor{pyGHDL/pyGHDL libghdl errorout_memory pyGHDL libghdl errorout_memory Install_Handler}@anchor{a5c}
25356 @deffn {Function} pyGHDL.libghdl.errorout_memory.Install_Handler ()
25358 Install the handlers for reporting errors.
25360 @*Return type: 
25361 None@footnote{https://docs.python.org/3.6/library/constants.html#None}
25363 @end deffn
25365 @geindex Get_Nbr_Messages() (in module pyGHDL.libghdl.errorout_memory)
25366 @anchor{pyGHDL/pyGHDL libghdl errorout_memory pyGHDL libghdl errorout_memory Get_Nbr_Messages}@anchor{a5d}
25367 @deffn {Function} pyGHDL.libghdl.errorout_memory.Get_Nbr_Messages ()
25369 Get number of error messages available.
25371 @*Return type: 
25372 TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{ErrorIndex}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
25375 @*Returns: 
25376 Number of messages available.
25378 @end deffn
25380 @geindex Get_Error_Record() (in module pyGHDL.libghdl.errorout_memory)
25381 @anchor{pyGHDL/pyGHDL libghdl errorout_memory pyGHDL libghdl errorout_memory Get_Error_Record}@anchor{a5e}
25382 @deffn {Function} pyGHDL.libghdl.errorout_memory.Get_Error_Record (Idx)
25384 Get error messages by index @code{Idy} as structure @ref{a5b,,Error_Message}.
25386 @*Parameters: 
25387 @code{Idx} (TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{ErrorIndex}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})) – Index from 1 to @code{Nbr_Messages} See @ref{a5d,,Get_Nbr_Messages()}.
25390 @*Return type: 
25391 @ref{a5b,,Error_Message}
25394 @*Returns: 
25395 Type: @code{Error_Message}
25397 @end deffn
25399 @geindex Get_Error_Message() (in module pyGHDL.libghdl.errorout_memory)
25400 @anchor{pyGHDL/pyGHDL libghdl errorout_memory pyGHDL libghdl errorout_memory Get_Error_Message}@anchor{a5f}
25401 @deffn {Function} pyGHDL.libghdl.errorout_memory.Get_Error_Message (Idx)
25403 Get error messages by index @code{Idx} as string.
25405 @*Parameters: 
25406 @code{Idx} (TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{ErrorIndex}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})) – Index from 1 to @code{Nbr_Messages} See @ref{a5d,,Get_Nbr_Messages()}.
25409 @*Return type: 
25410 str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
25413 @*Returns: 
25414 Error message.
25416 @end deffn
25418 @geindex Clear_Errors() (in module pyGHDL.libghdl.errorout_memory)
25419 @anchor{pyGHDL/pyGHDL libghdl errorout_memory pyGHDL libghdl errorout_memory Clear_Errors}@anchor{a60}
25420 @deffn {Function} pyGHDL.libghdl.errorout_memory.Clear_Errors ()
25422 Remove all error messages.
25424 @*Return type: 
25425 None@footnote{https://docs.python.org/3.6/library/constants.html#None}
25427 @end deffn
25429 @c # Load pre-defined aliases and graphical characters like © from docutils
25430 @c # <file> is used to denote the special path
25431 @c # <Python>\Lib\site-packages\docutils\parsers\rst\include
25433 @c This data file has been placed in the public domain.
25435 @c Derived from the Unicode character mappings available from
25436 @c <http://www.w3.org/2003/entities/xml/>.
25437 @c Processed by unicode2rstsubs.py, part of Docutils:
25438 @c <http://docutils.sourceforge.net>.
25440 @c This data file has been placed in the public domain.
25442 @c Derived from the Unicode character mappings available from
25443 @c <http://www.w3.org/2003/entities/xml/>.
25444 @c Processed by unicode2rstsubs.py, part of Docutils:
25445 @c <http://docutils.sourceforge.net>.
25447 @c # define a hard line break for HTML
25449 @node pyGHDL libghdl files_map,pyGHDL libghdl files_map_editor,pyGHDL libghdl errorout_memory,pyGHDL libghdl
25450 @anchor{pyGHDL/pyGHDL libghdl files_map doc}@anchor{a6b}@anchor{pyGHDL/pyGHDL libghdl files_map module-pyGHDL libghdl files_map}@anchor{20}@anchor{pyGHDL/pyGHDL libghdl files_map pyghdl-libghdl-files-map}@anchor{a6c}
25451 @subsection pyGHDL.libghdl.files_map
25454 @geindex module; pyGHDL.libghdl.files_map
25456 @c #-----------------------------------
25458 @strong{Variables}
25461 @itemize -
25463 @item 
25464 @ref{a6d,,EOT}
25466 @item 
25467 @ref{a6e,,No_Source_File_Entry}
25469 @item 
25470 @ref{a6f,,No_Location}
25471 @end itemize
25473 @strong{Functions}
25476 @itemize -
25478 @item 
25479 @ref{a70,,Location_To_File()}:
25480 Convert @code{Location} to a source file.
25482 @item 
25483 @ref{a71,,Location_File_To_Pos()}:
25484 Convert @code{Location} and @code{File} to a position (offset) into the source file.
25486 @item 
25487 @ref{a72,,Location_File_To_Line()}:
25488 Convert @code{Location} and @code{File} to a line number.
25490 @item 
25491 @ref{a73,,Location_File_Line_To_Offset()}:
25492 Get the offset in @code{Line} of @code{Location}.
25494 @item 
25495 @ref{a74,,Location_File_Line_To_Col()}:
25496 Get logical column (with HT expanded) from @code{Location}, @code{File} and
25498 @item 
25499 @ref{a75,,File_To_Location()}:
25500 Convert a @code{File} into a location.
25502 @item 
25503 @ref{a76,,File_Pos_To_Location()}:
25504 Convert a @code{File} and an offset @code{Pos} in the file into a location.
25506 @item 
25507 @ref{a77,,File_Line_To_Position()}:
25508 Convert a @code{File} and @code{Line} into a position.
25510 @item 
25511 @ref{a78,,Get_File_Name()}:
25512 Return the name of the file.
25514 @item 
25515 @ref{a79,,Get_Directory_Name()}:
25516 Return the directory of the file.
25518 @item 
25519 @ref{a7a,,Get_File_Buffer()}:
25520 Return a buffer (access to the contents of the file) for a file entry.
25522 @item 
25523 @ref{a7b,,Get_File_Length()}:
25524 Get the position of the first EOT character.
25526 @item 
25527 @ref{a7c,,Set_File_Length()}:
25528 Set the length of the file (which is less than the size of the file buffer).
25530 @item 
25531 @ref{a7d,,Get_Buffer_Length()}:
25532 Get the length of the buffer, including the gap and the two EOT.
25534 @item 
25535 @ref{a7e,,Reserve_Source_File()}:
25536 Reserve an entry, but do not read any file.
25538 @item 
25539 @ref{a7f,,Discard_Source_File()}:
25540 Mark @code{File} as unavailable: clear the name and directory.
25542 @item 
25543 @ref{a80,,Free_Source_File()}:
25544 Free resources used by @code{File}, but keep the entry.
25546 @item 
25547 @ref{a81,,Get_Last_Source_File_Entry()}:
25548 Returns the entry of the last known file.
25549 @end itemize
25551 @geindex EOT (in module pyGHDL.libghdl.files_map)
25552 @anchor{pyGHDL/pyGHDL libghdl files_map pyGHDL libghdl files_map EOT}@anchor{a6d}
25553 @deffn {Data} pyGHDL.libghdl.files_map.EOT
25555 bytes(iterable_of_ints) -> bytes
25556 bytes(string, encoding[, errors]) -> bytes
25557 bytes(bytes_or_buffer) -> immutable copy of bytes_or_buffer
25558 bytes(int) -> bytes object of size given by the parameter initialized with null bytes
25559 bytes() -> empty bytes object
25562 @table @asis
25564 @item Construct an immutable array of bytes from:
25567 @itemize -
25569 @item 
25570 an iterable yielding integers in range(256)
25572 @item 
25573 a text string encoded using the specified encoding
25575 @item 
25576 any object implementing the buffer API.
25578 @item 
25579 an integer
25580 @end itemize
25581 @end table
25583 @example
25584 b'\x04'
25585 @end example
25586 @end deffn
25588 @geindex No_Source_File_Entry (in module pyGHDL.libghdl.files_map)
25589 @anchor{pyGHDL/pyGHDL libghdl files_map pyGHDL libghdl files_map No_Source_File_Entry}@anchor{a6e}
25590 @deffn {Data} pyGHDL.libghdl.files_map.No_Source_File_Entry
25592 int([x]) -> integer
25593 int(x, base=10) -> integer
25595 Convert a number or string to an integer, or return 0 if no arguments
25596 are given.  If x is a number, return x.__int__().  For floating point
25597 numbers, this truncates towards zero.
25599 If x is not a number or if base is given, then x must be a string,
25600 bytes, or bytearray instance representing an integer literal in the
25601 given base.  The literal can be preceded by ‘+’ or ‘-‘ and be surrounded
25602 by whitespace.  The base defaults to 10.  Valid bases are 0 and 2-36.
25603 Base 0 means to interpret the base from the string as an integer literal.
25604 >>> int(‘0b100’, base=0)
25607 @example
25609 @end example
25610 @end deffn
25612 @geindex No_Location (in module pyGHDL.libghdl.files_map)
25613 @anchor{pyGHDL/pyGHDL libghdl files_map pyGHDL libghdl files_map No_Location}@anchor{a6f}
25614 @deffn {Data} pyGHDL.libghdl.files_map.No_Location
25616 int([x]) -> integer
25617 int(x, base=10) -> integer
25619 Convert a number or string to an integer, or return 0 if no arguments
25620 are given.  If x is a number, return x.__int__().  For floating point
25621 numbers, this truncates towards zero.
25623 If x is not a number or if base is given, then x must be a string,
25624 bytes, or bytearray instance representing an integer literal in the
25625 given base.  The literal can be preceded by ‘+’ or ‘-‘ and be surrounded
25626 by whitespace.  The base defaults to 10.  Valid bases are 0 and 2-36.
25627 Base 0 means to interpret the base from the string as an integer literal.
25628 >>> int(‘0b100’, base=0)
25631 @example
25633 @end example
25634 @end deffn
25636 @c #-----------------------------------
25638 @strong{Functions}
25640 @geindex Location_To_File() (in module pyGHDL.libghdl.files_map)
25641 @anchor{pyGHDL/pyGHDL libghdl files_map pyGHDL libghdl files_map Location_To_File}@anchor{a70}
25642 @deffn {Function} pyGHDL.libghdl.files_map.Location_To_File (Location)
25644 Convert @code{Location} to a source file.
25646 @*Parameters: 
25647 @code{Location} (TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{LocationType}, bound= c_uint@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_uint})) – Location
25650 @*Return type: 
25651 TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{SourceFileEntry}, bound= c_uint@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_uint})
25654 @*Returns: 
25655 Source file. Return @code{No_Source_File_Entry} if location is incorrect.
25657 @end deffn
25659 @geindex Location_File_To_Pos() (in module pyGHDL.libghdl.files_map)
25660 @anchor{pyGHDL/pyGHDL libghdl files_map pyGHDL libghdl files_map Location_File_To_Pos}@anchor{a71}
25661 @deffn {Function} pyGHDL.libghdl.files_map.Location_File_To_Pos (Location, File)
25663 Convert @code{Location} and @code{File} to a position (offset) into the source file.
25665 @*Parameters: 
25667 @itemize *
25669 @item 
25670 @code{Location} (TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{LocationType}, bound= c_uint@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_uint})) – Location
25672 @item 
25673 @code{File} (TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{SourceFileEntry}, bound= c_uint@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_uint})) – Source file
25674 @end itemize
25677 @*Return type: 
25678 int@footnote{https://docs.python.org/3.6/library/functions.html#int}
25681 @*Returns: 
25682 Offset
25684 @end deffn
25686 @geindex Location_File_To_Line() (in module pyGHDL.libghdl.files_map)
25687 @anchor{pyGHDL/pyGHDL libghdl files_map pyGHDL libghdl files_map Location_File_To_Line}@anchor{a72}
25688 @deffn {Function} pyGHDL.libghdl.files_map.Location_File_To_Line (Location, File)
25690 Convert @code{Location} and @code{File} to a line number.
25692 @*Parameters: 
25694 @itemize *
25696 @item 
25697 @code{Location} (TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{LocationType}, bound= c_uint@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_uint})) – Location
25699 @item 
25700 @code{File} (TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{SourceFileEntry}, bound= c_uint@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_uint})) – Source file
25701 @end itemize
25704 @*Return type: 
25705 int@footnote{https://docs.python.org/3.6/library/functions.html#int}
25708 @*Returns: 
25709 Line number
25711 @end deffn
25713 @geindex Location_File_Line_To_Offset() (in module pyGHDL.libghdl.files_map)
25714 @anchor{pyGHDL/pyGHDL libghdl files_map pyGHDL libghdl files_map Location_File_Line_To_Offset}@anchor{a73}
25715 @deffn {Function} pyGHDL.libghdl.files_map.Location_File_Line_To_Offset (Location, File, Line)
25717 Get the offset in @code{Line} of @code{Location}.
25719 @*Parameters: 
25721 @itemize *
25723 @item 
25724 @code{Location} (TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{LocationType}, bound= c_uint@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_uint})) – Location
25726 @item 
25727 @code{File} (TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{SourceFileEntry}, bound= c_uint@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_uint})) – Source file
25729 @item 
25730 @code{Line} (int@footnote{https://docs.python.org/3.6/library/functions.html#int}) – Line number
25731 @end itemize
25734 @*Return type: 
25735 int@footnote{https://docs.python.org/3.6/library/functions.html#int}
25738 @*Returns: 
25739 Offset
25741 @end deffn
25743 @geindex Location_File_Line_To_Col() (in module pyGHDL.libghdl.files_map)
25744 @anchor{pyGHDL/pyGHDL libghdl files_map pyGHDL libghdl files_map Location_File_Line_To_Col}@anchor{a74}
25745 @deffn {Function} pyGHDL.libghdl.files_map.Location_File_Line_To_Col (Location, File, Line)
25747 Get logical column (with HT expanded) from @code{Location}, @code{File} and
25748 @code{Line}.
25750 @*Parameters: 
25752 @itemize *
25754 @item 
25755 @code{Location} (TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{LocationType}, bound= c_uint@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_uint})) – Location
25757 @item 
25758 @code{File} (TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{SourceFileEntry}, bound= c_uint@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_uint})) – Source file
25760 @item 
25761 @code{Line} (int@footnote{https://docs.python.org/3.6/library/functions.html#int}) – Line number
25762 @end itemize
25765 @*Return type: 
25766 int@footnote{https://docs.python.org/3.6/library/functions.html#int}
25769 @*Returns: 
25770 logical column (horizontal tabs are expanded)
25772 @end deffn
25774 @geindex File_To_Location() (in module pyGHDL.libghdl.files_map)
25775 @anchor{pyGHDL/pyGHDL libghdl files_map pyGHDL libghdl files_map File_To_Location}@anchor{a75}
25776 @deffn {Function} pyGHDL.libghdl.files_map.File_To_Location (File)
25778 Convert a @code{File} into a location.
25780 @*Parameters: 
25781 @code{File} (TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{SourceFileEntry}, bound= c_uint@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_uint})) – Source file
25784 @*Return type: 
25785 TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{LocationType}, bound= c_uint@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_uint})
25788 @*Returns: 
25789 Location.
25791 @end deffn
25793 @geindex File_Pos_To_Location() (in module pyGHDL.libghdl.files_map)
25794 @anchor{pyGHDL/pyGHDL libghdl files_map pyGHDL libghdl files_map File_Pos_To_Location}@anchor{a76}
25795 @deffn {Function} pyGHDL.libghdl.files_map.File_Pos_To_Location (File, Pos)
25797 Convert a @code{File} and an offset @code{Pos} in the file into a location.
25799 @*Parameters: 
25801 @itemize *
25803 @item 
25804 @code{File} (TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{SourceFileEntry}, bound= c_uint@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_uint})) – Source file
25806 @item 
25807 @code{Pos} (int@footnote{https://docs.python.org/3.6/library/functions.html#int}) – Offset in the file
25808 @end itemize
25811 @*Return type: 
25812 TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{LocationType}, bound= c_uint@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_uint})
25815 @*Returns: 
25816 Location.
25818 @end deffn
25820 @geindex File_Line_To_Position() (in module pyGHDL.libghdl.files_map)
25821 @anchor{pyGHDL/pyGHDL libghdl files_map pyGHDL libghdl files_map File_Line_To_Position}@anchor{a77}
25822 @deffn {Function} pyGHDL.libghdl.files_map.File_Line_To_Position (File, Line)
25824 Convert a @code{File} and @code{Line} into a position.
25826 @*Parameters: 
25828 @itemize *
25830 @item 
25831 @code{File} (TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{SourceFileEntry}, bound= c_uint@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_uint})) – Source file
25833 @item 
25834 @code{Line} (int@footnote{https://docs.python.org/3.6/library/functions.html#int}) – Line number
25835 @end itemize
25838 @*Return type: 
25839 int@footnote{https://docs.python.org/3.6/library/functions.html#int}
25842 @*Returns: 
25843 Return @code{Source_Ptr_Bad} in case of error (@code{Line} out of bounds).
25845 @end deffn
25847 @geindex Get_File_Name() (in module pyGHDL.libghdl.files_map)
25848 @anchor{pyGHDL/pyGHDL libghdl files_map pyGHDL libghdl files_map Get_File_Name}@anchor{a78}
25849 @deffn {Function} pyGHDL.libghdl.files_map.Get_File_Name (File)
25851 Return the name of the file.
25853 @*Parameters: 
25854 @code{File} (TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{SourceFileEntry}, bound= c_uint@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_uint})) – Source file to get the filename from.
25857 @*Return type: 
25858 TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{NameId}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
25861 @*Returns: 
25862 NameId for the filename.
25864 @end deffn
25866 @geindex Get_Directory_Name() (in module pyGHDL.libghdl.files_map)
25867 @anchor{pyGHDL/pyGHDL libghdl files_map pyGHDL libghdl files_map Get_Directory_Name}@anchor{a79}
25868 @deffn {Function} pyGHDL.libghdl.files_map.Get_Directory_Name (File)
25870 Return the directory of the file.
25872 @*Parameters: 
25873 @code{File} (TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{SourceFileEntry}, bound= c_uint@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_uint})) – Source file to get the directory name from.
25876 @*Return type: 
25877 TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{NameId}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
25880 @*Returns: 
25881 NameId for the directory.
25883 @end deffn
25885 @geindex Get_File_Buffer() (in module pyGHDL.libghdl.files_map)
25886 @anchor{pyGHDL/pyGHDL libghdl files_map pyGHDL libghdl files_map Get_File_Buffer}@anchor{a7a}
25887 @deffn {Function} pyGHDL.libghdl.files_map.Get_File_Buffer (File)
25889 Return a buffer (access to the contents of the file) for a file entry.
25891 @*Parameters: 
25892 @code{File} (TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{SourceFileEntry}, bound= c_uint@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_uint})) – Source file to get the buffer from.
25895 @*Return type: 
25896 bytes@footnote{https://docs.python.org/3.6/library/stdtypes.html#bytes}
25899 @*Returns: 
25900 Type: @code{File_Buffer_Ptr}
25902 @end deffn
25904 @geindex Get_File_Length() (in module pyGHDL.libghdl.files_map)
25905 @anchor{pyGHDL/pyGHDL libghdl files_map pyGHDL libghdl files_map Get_File_Length}@anchor{a7b}
25906 @deffn {Function} pyGHDL.libghdl.files_map.Get_File_Length (File)
25908 Get the position of the first EOT character.
25910 @*Parameters: 
25911 @code{File} (TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{SourceFileEntry}, bound= c_uint@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_uint})) – Source file
25914 @*Return type: 
25915 int@footnote{https://docs.python.org/3.6/library/functions.html#int}
25918 @*Returns: 
25919 Type: @code{Source_Ptr}
25921 @end deffn
25923 @geindex Set_File_Length() (in module pyGHDL.libghdl.files_map)
25924 @anchor{pyGHDL/pyGHDL libghdl files_map pyGHDL libghdl files_map Set_File_Length}@anchor{a7c}
25925 @deffn {Function} pyGHDL.libghdl.files_map.Set_File_Length (File, Length)
25927 Set the length of the file (which is less than the size of the file buffer).
25929 Set also append two EOT at the end of the file.
25931 @*Parameters: 
25933 @itemize *
25935 @item 
25936 @code{File} (TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{SourceFileEntry}, bound= c_uint@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_uint})) – Source file
25938 @item 
25939 @code{Length} (int@footnote{https://docs.python.org/3.6/library/functions.html#int}) – Length for the file. Type: @code{Source_Ptr}
25940 @end itemize
25943 @*Return type: 
25944 None@footnote{https://docs.python.org/3.6/library/constants.html#None}
25946 @end deffn
25948 @geindex Get_Buffer_Length() (in module pyGHDL.libghdl.files_map)
25949 @anchor{pyGHDL/pyGHDL libghdl files_map pyGHDL libghdl files_map Get_Buffer_Length}@anchor{a7d}
25950 @deffn {Function} pyGHDL.libghdl.files_map.Get_Buffer_Length (File)
25952 Get the length of the buffer, including the gap and the two EOT.
25954 @*Parameters: 
25955 @code{File} (TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{SourceFileEntry}, bound= c_uint@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_uint})) – Source file
25958 @*Return type: 
25959 int@footnote{https://docs.python.org/3.6/library/functions.html#int}
25962 @*Returns: 
25963 Type: @code{Source_Ptr}
25965 @end deffn
25967 @geindex Reserve_Source_File() (in module pyGHDL.libghdl.files_map)
25968 @anchor{pyGHDL/pyGHDL libghdl files_map pyGHDL libghdl files_map Reserve_Source_File}@anchor{a7e}
25969 @deffn {Function} pyGHDL.libghdl.files_map.Reserve_Source_File (Directory, Name, Length)
25971 Reserve an entry, but do not read any file.
25973 The length should includes the two terminal EOT.
25975 @*Parameters: 
25977 @itemize *
25979 @item 
25980 @code{Directory} (TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{NameId}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})) – Directory name
25982 @item 
25983 @code{Name} (TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{NameId}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})) – File name
25985 @item 
25986 @code{Length} (int@footnote{https://docs.python.org/3.6/library/functions.html#int}) – Length to reserve. Type: @code{Source_Ptr}
25987 @end itemize
25990 @*Return type: 
25991 TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{SourceFileEntry}, bound= c_uint@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_uint})
25994 @*Returns: 
25995 SourceFile
25997 @end deffn
25999 @geindex Discard_Source_File() (in module pyGHDL.libghdl.files_map)
26000 @anchor{pyGHDL/pyGHDL libghdl files_map pyGHDL libghdl files_map Discard_Source_File}@anchor{a7f}
26001 @deffn {Function} pyGHDL.libghdl.files_map.Discard_Source_File (File)
26003 Mark @code{File} as unavailable: clear the name and directory.
26005 @cartouche
26006 @quotation Hint 
26007 This is needed before creating a new source file with the same name.
26008 @end quotation
26009 @end cartouche
26011 @*Parameters: 
26012 @code{File} (TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{SourceFileEntry}, bound= c_uint@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_uint})) – Source file to discard.
26015 @*Return type: 
26016 None@footnote{https://docs.python.org/3.6/library/constants.html#None}
26018 @end deffn
26020 @geindex Free_Source_File() (in module pyGHDL.libghdl.files_map)
26021 @anchor{pyGHDL/pyGHDL libghdl files_map pyGHDL libghdl files_map Free_Source_File}@anchor{a80}
26022 @deffn {Function} pyGHDL.libghdl.files_map.Free_Source_File (File)
26024 Free resources used by @code{File}, but keep the entry.
26026 @cartouche
26027 @quotation Note 
26028 It could be recycled for files that could fit - not implemented.
26029 @end quotation
26030 @end cartouche
26032 @*Parameters: 
26033 @code{File} (TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{SourceFileEntry}, bound= c_uint@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_uint})) – Source file to free.
26036 @*Return type: 
26037 None@footnote{https://docs.python.org/3.6/library/constants.html#None}
26039 @end deffn
26041 @geindex Get_Last_Source_File_Entry() (in module pyGHDL.libghdl.files_map)
26042 @anchor{pyGHDL/pyGHDL libghdl files_map pyGHDL libghdl files_map Get_Last_Source_File_Entry}@anchor{a81}
26043 @deffn {Function} pyGHDL.libghdl.files_map.Get_Last_Source_File_Entry ()
26045 Returns the entry of the last known file.
26047 @cartouche
26048 @quotation Hint 
26049 This allows creating a table of @code{SourceFileEntry}.
26050 @end quotation
26051 @end cartouche
26053 @*Return type: 
26054 TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{SourceFileEntry}, bound= c_uint@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_uint})
26057 @*Returns: 
26058 Last SourceFileEntry. Type: @code{SourceFileEntry}
26060 @end deffn
26062 @c # Load pre-defined aliases and graphical characters like © from docutils
26063 @c # <file> is used to denote the special path
26064 @c # <Python>\Lib\site-packages\docutils\parsers\rst\include
26066 @c This data file has been placed in the public domain.
26068 @c Derived from the Unicode character mappings available from
26069 @c <http://www.w3.org/2003/entities/xml/>.
26070 @c Processed by unicode2rstsubs.py, part of Docutils:
26071 @c <http://docutils.sourceforge.net>.
26073 @c This data file has been placed in the public domain.
26075 @c Derived from the Unicode character mappings available from
26076 @c <http://www.w3.org/2003/entities/xml/>.
26077 @c Processed by unicode2rstsubs.py, part of Docutils:
26078 @c <http://docutils.sourceforge.net>.
26080 @c # define a hard line break for HTML
26082 @node pyGHDL libghdl files_map_editor,pyGHDL libghdl flags,pyGHDL libghdl files_map,pyGHDL libghdl
26083 @anchor{pyGHDL/pyGHDL libghdl files_map_editor doc}@anchor{a82}@anchor{pyGHDL/pyGHDL libghdl files_map_editor module-pyGHDL libghdl files_map_editor}@anchor{21}@anchor{pyGHDL/pyGHDL libghdl files_map_editor pyghdl-libghdl-files-map-editor}@anchor{a83}
26084 @subsection pyGHDL.libghdl.files_map_editor
26087 @geindex module; pyGHDL.libghdl.files_map_editor
26089 @c #-----------------------------------
26091 @strong{Functions}
26094 @itemize -
26096 @item 
26097 @ref{a84,,Replace_Text()}:
26098 Replace [START; END) by TEXT.
26100 @item 
26101 @ref{a85,,Fill_Text()}:
26102 Replace the content of @code{File} with TEXT.
26104 @item 
26105 @ref{a86,,Check_Buffer_Content()}:
26106 Check that content of @code{File} is STR[1 .. STR_LEN].
26108 @item 
26109 @ref{a87,,Copy_Source_File()}:
26110 Copy content of @code{Src} to @code{Dest}.
26111 @end itemize
26113 @c #-----------------------------------
26115 @strong{Functions}
26117 @geindex Replace_Text() (in module pyGHDL.libghdl.files_map_editor)
26118 @anchor{pyGHDL/pyGHDL libghdl files_map_editor pyGHDL libghdl files_map_editor Replace_Text}@anchor{a84}
26119 @deffn {Function} pyGHDL.libghdl.files_map_editor.Replace_Text (File, Start_Line, Start_Offset, End_Line, End_Offset, Text)
26121 Replace [START; END) by TEXT.
26123 @*Parameters: 
26125 @itemize *
26127 @item 
26128 @code{File} (TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{SourceFileEntry}, bound= c_uint@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_uint})) – File where to replace a text section.
26130 @item 
26131 @code{Start_Line} (int@footnote{https://docs.python.org/3.6/library/functions.html#int}) – undocumented
26133 @item 
26134 @code{Start_Offset} (int@footnote{https://docs.python.org/3.6/library/functions.html#int}) – undocumented
26136 @item 
26137 @code{End_Line} (int@footnote{https://docs.python.org/3.6/library/functions.html#int}) – undocumented
26139 @item 
26140 @code{End_Offset} (int@footnote{https://docs.python.org/3.6/library/functions.html#int}) – undocumented
26142 @item 
26143 @code{Text} (str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}) – undocumented
26144 @end itemize
26147 @*Return type: 
26148 bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
26151 @*Returns: 
26152 Return True in case of success, False in case of failure (the gap is too small).
26154 @end deffn
26156 @geindex Fill_Text() (in module pyGHDL.libghdl.files_map_editor)
26157 @anchor{pyGHDL/pyGHDL libghdl files_map_editor pyGHDL libghdl files_map_editor Fill_Text}@anchor{a85}
26158 @deffn {Function} pyGHDL.libghdl.files_map_editor.Fill_Text (File, Text_Pointer, Text_Length)
26160 Replace the content of @code{File} with TEXT.
26162 @cartouche
26163 @quotation Todo 
26164 Replace @code{Text_Pointer} and @code{Text_Length} with Python string
26165 @end quotation
26166 @end cartouche
26168 @*Parameters: 
26170 @itemize *
26172 @item 
26173 @code{File} (TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{SourceFileEntry}, bound= c_uint@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_uint})) – File where to replace the content.
26175 @item 
26176 @code{Text_Pointer} – Type: @code{File_Buffer_Ptr}
26178 @item 
26179 @code{Text_Length} (int@footnote{https://docs.python.org/3.6/library/functions.html#int}) – Type: @code{Source_Ptr}
26180 @end itemize
26183 @*Return type: 
26184 None@footnote{https://docs.python.org/3.6/library/constants.html#None}
26186 @end deffn
26188 @geindex Check_Buffer_Content() (in module pyGHDL.libghdl.files_map_editor)
26189 @anchor{pyGHDL/pyGHDL libghdl files_map_editor pyGHDL libghdl files_map_editor Check_Buffer_Content}@anchor{a86}
26190 @deffn {Function} pyGHDL.libghdl.files_map_editor.Check_Buffer_Content (File, String_Pointer, String_Length)
26192 Check that content of @code{File} is STR[1 .. STR_LEN].
26194 @cartouche
26195 @quotation Todo 
26196 Replace @code{String_Pointer} and @code{String_Length} with Python string
26197 @end quotation
26198 @end cartouche
26200 @*Parameters: 
26202 @itemize *
26204 @item 
26205 @code{File} (TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{SourceFileEntry}, bound= c_uint@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_uint})) – File to check the content.
26207 @item 
26208 @code{String_Pointer} (c_char_p@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_char_p}) – Type: @code{File_Buffer_Ptr}
26210 @item 
26211 @code{String_Length} (c_uint@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_uint}) – Type: @code{Source_Ptr}
26212 @end itemize
26215 @*Return type: 
26216 None@footnote{https://docs.python.org/3.6/library/constants.html#None}
26218 @end deffn
26220 @geindex Copy_Source_File() (in module pyGHDL.libghdl.files_map_editor)
26221 @anchor{pyGHDL/pyGHDL libghdl files_map_editor pyGHDL libghdl files_map_editor Copy_Source_File}@anchor{a87}
26222 @deffn {Function} pyGHDL.libghdl.files_map_editor.Copy_Source_File (Dest, Src)
26224 Copy content of @code{Src} to @code{Dest}.
26226 @cartouche
26227 @quotation Warning 
26228 The size of @code{Dest} must be large enough.
26229 @end quotation
26230 @end cartouche
26232 Clear lines table of @code{Dest}.
26234 @*Return type: 
26235 None@footnote{https://docs.python.org/3.6/library/constants.html#None}
26237 @end deffn
26239 @c # Load pre-defined aliases and graphical characters like © from docutils
26240 @c # <file> is used to denote the special path
26241 @c # <Python>\Lib\site-packages\docutils\parsers\rst\include
26243 @c This data file has been placed in the public domain.
26245 @c Derived from the Unicode character mappings available from
26246 @c <http://www.w3.org/2003/entities/xml/>.
26247 @c Processed by unicode2rstsubs.py, part of Docutils:
26248 @c <http://docutils.sourceforge.net>.
26250 @c This data file has been placed in the public domain.
26252 @c Derived from the Unicode character mappings available from
26253 @c <http://www.w3.org/2003/entities/xml/>.
26254 @c Processed by unicode2rstsubs.py, part of Docutils:
26255 @c <http://docutils.sourceforge.net>.
26257 @c # define a hard line break for HTML
26259 @node pyGHDL libghdl flags,pyGHDL libghdl libraries,pyGHDL libghdl files_map_editor,pyGHDL libghdl
26260 @anchor{pyGHDL/pyGHDL libghdl flags doc}@anchor{a88}@anchor{pyGHDL/pyGHDL libghdl flags module-pyGHDL libghdl flags}@anchor{22}@anchor{pyGHDL/pyGHDL libghdl flags pyghdl-libghdl-flags}@anchor{a89}
26261 @subsection pyGHDL.libghdl.flags
26264 @geindex module; pyGHDL.libghdl.flags
26266 @c #-----------------------------------
26268 @strong{Variables}
26271 @itemize -
26273 @item 
26274 @ref{a8a,,Flag_Elocations}
26276 @item 
26277 @ref{a8b,,Verbose}
26279 @item 
26280 @ref{a8c,,Flag_Elaborate_With_Outdated}
26282 @item 
26283 @ref{a8d,,Flag_Force_Analysis}
26284 @end itemize
26286 @geindex Flag_Elocations (in module pyGHDL.libghdl.flags)
26287 @anchor{pyGHDL/pyGHDL libghdl flags pyGHDL libghdl flags Flag_Elocations}@anchor{a8a}
26288 @deffn {Data} pyGHDL.libghdl.flags.Flag_Elocations
26290 @example
26291 c_bool(False)
26292 @end example
26293 @end deffn
26295 @geindex Verbose (in module pyGHDL.libghdl.flags)
26296 @anchor{pyGHDL/pyGHDL libghdl flags pyGHDL libghdl flags Verbose}@anchor{a8b}
26297 @deffn {Data} pyGHDL.libghdl.flags.Verbose
26299 @example
26300 c_bool(False)
26301 @end example
26302 @end deffn
26304 @geindex Flag_Elaborate_With_Outdated (in module pyGHDL.libghdl.flags)
26305 @anchor{pyGHDL/pyGHDL libghdl flags pyGHDL libghdl flags Flag_Elaborate_With_Outdated}@anchor{a8c}
26306 @deffn {Data} pyGHDL.libghdl.flags.Flag_Elaborate_With_Outdated
26308 @example
26309 c_bool(False)
26310 @end example
26311 @end deffn
26313 @geindex Flag_Force_Analysis (in module pyGHDL.libghdl.flags)
26314 @anchor{pyGHDL/pyGHDL libghdl flags pyGHDL libghdl flags Flag_Force_Analysis}@anchor{a8d}
26315 @deffn {Data} pyGHDL.libghdl.flags.Flag_Force_Analysis
26317 @example
26318 c_bool(False)
26319 @end example
26320 @end deffn
26322 @c # Load pre-defined aliases and graphical characters like © from docutils
26323 @c # <file> is used to denote the special path
26324 @c # <Python>\Lib\site-packages\docutils\parsers\rst\include
26326 @c This data file has been placed in the public domain.
26328 @c Derived from the Unicode character mappings available from
26329 @c <http://www.w3.org/2003/entities/xml/>.
26330 @c Processed by unicode2rstsubs.py, part of Docutils:
26331 @c <http://docutils.sourceforge.net>.
26333 @c This data file has been placed in the public domain.
26335 @c Derived from the Unicode character mappings available from
26336 @c <http://www.w3.org/2003/entities/xml/>.
26337 @c Processed by unicode2rstsubs.py, part of Docutils:
26338 @c <http://docutils.sourceforge.net>.
26340 @c # define a hard line break for HTML
26342 @node pyGHDL libghdl libraries,pyGHDL libghdl name_table,pyGHDL libghdl flags,pyGHDL libghdl
26343 @anchor{pyGHDL/pyGHDL libghdl libraries doc}@anchor{a8e}@anchor{pyGHDL/pyGHDL libghdl libraries module-pyGHDL libghdl libraries}@anchor{23}@anchor{pyGHDL/pyGHDL libghdl libraries pyghdl-libghdl-libraries}@anchor{a8f}
26344 @subsection pyGHDL.libghdl.libraries
26347 @geindex module; pyGHDL.libghdl.libraries
26349 @c #-----------------------------------
26351 @strong{Variables}
26354 @itemize -
26356 @item 
26357 @ref{a90,,Library_Location}
26359 @item 
26360 @ref{a91,,Work_Library}
26361 @end itemize
26363 @strong{Functions}
26366 @itemize -
26368 @item 
26369 @ref{a92,,Get_Libraries_Chain()}:
26370 Get the chain of libraries. Can be used only to read (it mustn’t be modified).
26372 @item 
26373 @ref{a93,,Add_Design_Unit_Into_Library()}:
26374 Add or replace an design unit in the work library. DECL must not have a chain
26376 @item 
26377 @ref{a94,,Purge_Design_File()}:
26378 Remove the same file as @code{Design_File} from work library and all of its units.
26380 @item 
26381 @ref{a95,,Find_Entity_For_Component()}:
26382 Find an entity whose name is @code{Name} in any library. 
26384 @item 
26385 @ref{a96,,Get_Library_No_Create()}:
26386 Get the library named @code{Ident}.
26388 @item 
26389 @ref{a97,,Find_Primary_Unit()}:
26390 Just return the design_unit for @code{Name}, or @code{NULL} if not found.
26391 @end itemize
26393 @geindex Library_Location (in module pyGHDL.libghdl.libraries)
26394 @anchor{pyGHDL/pyGHDL libghdl libraries pyGHDL libghdl libraries Library_Location}@anchor{a90}
26395 @deffn {Data} pyGHDL.libghdl.libraries.Library_Location
26397 A location for library declarations (such as library WORK). Use @code{.value} to
26398 access this variable inside libghdl.
26400 @example
26401 c_int(1)
26402 @end example
26403 @end deffn
26405 @geindex Work_Library (in module pyGHDL.libghdl.libraries)
26406 @anchor{pyGHDL/pyGHDL libghdl libraries pyGHDL libghdl libraries Work_Library}@anchor{a91}
26407 @deffn {Data} pyGHDL.libghdl.libraries.Work_Library
26409 Library declaration for the work library. Note: the identifier of the work_library
26410 is @code{work_library_name}, which may be different from ‘WORK’. Use @code{.value} to
26411 access this variable inside libghdl.
26413 @example
26414 c_int(0)
26415 @end example
26416 @end deffn
26418 @c #-----------------------------------
26420 @strong{Functions}
26422 @geindex Get_Libraries_Chain() (in module pyGHDL.libghdl.libraries)
26423 @anchor{pyGHDL/pyGHDL libghdl libraries pyGHDL libghdl libraries Get_Libraries_Chain}@anchor{a92}
26424 @deffn {Function} pyGHDL.libghdl.libraries.Get_Libraries_Chain ()
26426 Get the chain of libraries. Can be used only to read (it mustn’t be modified).
26428 @*Return type: 
26429 TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir_Library_Declaration}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
26432 @*Returns: 
26433 undocumented
26435 @end deffn
26437 @geindex Add_Design_Unit_Into_Library() (in module pyGHDL.libghdl.libraries)
26438 @anchor{pyGHDL/pyGHDL libghdl libraries pyGHDL libghdl libraries Add_Design_Unit_Into_Library}@anchor{a93}
26439 @deffn {Function} pyGHDL.libghdl.libraries.Add_Design_Unit_Into_Library (Unit, Keep_Obsolete)
26441 Add or replace an design unit in the work library. DECL must not have a chain
26442 (because it may be modified).
26444 If the design_file of UNIT is not already in the library, a new one is created.
26446 Units are always appended to the design_file. Therefore, the order is kept.
26448 @*Parameters: 
26450 @itemize *
26452 @item 
26453 @code{Unit} (TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir_Design_Unit}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})) – undocumented
26455 @item 
26456 @code{Keep_Obsolete} (bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}) – 
26457 If @code{Keep_Obsolete} is True, obsoleted units are
26458 kept in the library.
26460 This is used when a whole design file has to be added
26461 in the library and then processed (without that feature,
26462 redefined units would disappear).
26464 @end itemize
26467 @*Return type: 
26468 None@footnote{https://docs.python.org/3.6/library/constants.html#None}
26470 @end deffn
26472 @geindex Purge_Design_File() (in module pyGHDL.libghdl.libraries)
26473 @anchor{pyGHDL/pyGHDL libghdl libraries pyGHDL libghdl libraries Purge_Design_File}@anchor{a94}
26474 @deffn {Function} pyGHDL.libghdl.libraries.Purge_Design_File (Design_File)
26476 Remove the same file as @code{Design_File} from work library and all of its units.
26478 @*Parameters: 
26479 @code{Design_File} (TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir_Design_File}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})) – undocumented
26482 @*Return type: 
26483 None@footnote{https://docs.python.org/3.6/library/constants.html#None}
26485 @end deffn
26487 @geindex Find_Entity_For_Component() (in module pyGHDL.libghdl.libraries)
26488 @anchor{pyGHDL/pyGHDL libghdl libraries pyGHDL libghdl libraries Find_Entity_For_Component}@anchor{a95}
26489 @deffn {Function} pyGHDL.libghdl.libraries.Find_Entity_For_Component (Name)
26491 Find an entity whose name is @code{Name} in any library. 
26492 If there is no such entity, return @code{Null_Iir}. 
26493 If there are several entities, return @code{Null_Iir};
26495 @*Parameters: 
26496 @code{Name} (TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{NameId}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})) – Entity name to search for.
26499 @*Return type: 
26500 TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir_Design_Unit}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
26503 @*Returns: 
26504 undocumented
26506 @end deffn
26508 @geindex Get_Library_No_Create() (in module pyGHDL.libghdl.libraries)
26509 @anchor{pyGHDL/pyGHDL libghdl libraries pyGHDL libghdl libraries Get_Library_No_Create}@anchor{a96}
26510 @deffn {Function} pyGHDL.libghdl.libraries.Get_Library_No_Create (Ident)
26512 Get the library named @code{Ident}.
26514 @*Parameters: 
26515 @code{Ident} (TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{NameId}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})) – Library to look for.
26518 @*Return type: 
26519 TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir_Library_Declaration}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
26522 @*Returns: 
26523 Return @code{Null_Iir} if it doesn’t exist.
26525 @end deffn
26527 @geindex Find_Primary_Unit() (in module pyGHDL.libghdl.libraries)
26528 @anchor{pyGHDL/pyGHDL libghdl libraries pyGHDL libghdl libraries Find_Primary_Unit}@anchor{a97}
26529 @deffn {Function} pyGHDL.libghdl.libraries.Find_Primary_Unit (Library, Name)
26531 Just return the design_unit for @code{Name}, or @code{NULL} if not found.
26533 @*Parameters: 
26535 @itemize *
26537 @item 
26538 @code{Library} (TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir_Library_Declaration}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})) – Library to look in.
26540 @item 
26541 @code{Name} (TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{NameId}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})) – Primary unit to search for.
26542 @end itemize
26545 @*Return type: 
26546 TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir_Design_Unit}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
26549 @*Returns: 
26550 undocumented
26552 @end deffn
26554 @c # Load pre-defined aliases and graphical characters like © from docutils
26555 @c # <file> is used to denote the special path
26556 @c # <Python>\Lib\site-packages\docutils\parsers\rst\include
26558 @c This data file has been placed in the public domain.
26560 @c Derived from the Unicode character mappings available from
26561 @c <http://www.w3.org/2003/entities/xml/>.
26562 @c Processed by unicode2rstsubs.py, part of Docutils:
26563 @c <http://docutils.sourceforge.net>.
26565 @c This data file has been placed in the public domain.
26567 @c Derived from the Unicode character mappings available from
26568 @c <http://www.w3.org/2003/entities/xml/>.
26569 @c Processed by unicode2rstsubs.py, part of Docutils:
26570 @c <http://docutils.sourceforge.net>.
26572 @c # define a hard line break for HTML
26574 @node pyGHDL libghdl name_table,pyGHDL libghdl std_names,pyGHDL libghdl libraries,pyGHDL libghdl
26575 @anchor{pyGHDL/pyGHDL libghdl name_table doc}@anchor{a98}@anchor{pyGHDL/pyGHDL libghdl name_table module-pyGHDL libghdl name_table}@anchor{24}@anchor{pyGHDL/pyGHDL libghdl name_table pyghdl-libghdl-name-table}@anchor{a99}
26576 @subsection pyGHDL.libghdl.name_table
26579 @geindex module; pyGHDL.libghdl.name_table
26581 @c #-----------------------------------
26583 @strong{Variables}
26586 @itemize -
26588 @item 
26589 @ref{a9a,,Null_Identifier}
26590 @end itemize
26592 @strong{Functions}
26595 @itemize -
26597 @item 
26598 @ref{a9b,,Get_Name_Length()}:
26599 Get the length of an identifier denoted by a @code{NameId}.
26601 @item 
26602 @ref{a9c,,Get_Name_Ptr()}:
26603 Get the string corresponding to identifier ID. The address is valid until
26605 @item 
26606 @ref{a9d,,Get_Character()}:
26607 Get the string corresponding to character identifier ID.
26609 @item 
26610 @ref{a9e,,Get_Identifier()}:
26611 Get or create an entry in the name table.
26612 @end itemize
26614 @geindex Null_Identifier (in module pyGHDL.libghdl.name_table)
26615 @anchor{pyGHDL/pyGHDL libghdl name_table pyGHDL libghdl name_table Null_Identifier}@anchor{a9a}
26616 @deffn {Data} pyGHDL.libghdl.name_table.Null_Identifier
26618 int([x]) -> integer
26619 int(x, base=10) -> integer
26621 Convert a number or string to an integer, or return 0 if no arguments
26622 are given.  If x is a number, return x.__int__().  For floating point
26623 numbers, this truncates towards zero.
26625 If x is not a number or if base is given, then x must be a string,
26626 bytes, or bytearray instance representing an integer literal in the
26627 given base.  The literal can be preceded by ‘+’ or ‘-‘ and be surrounded
26628 by whitespace.  The base defaults to 10.  Valid bases are 0 and 2-36.
26629 Base 0 means to interpret the base from the string as an integer literal.
26630 >>> int(‘0b100’, base=0)
26633 @example
26635 @end example
26636 @end deffn
26638 @c #-----------------------------------
26640 @strong{Functions}
26642 @geindex Get_Name_Length() (in module pyGHDL.libghdl.name_table)
26643 @anchor{pyGHDL/pyGHDL libghdl name_table pyGHDL libghdl name_table Get_Name_Length}@anchor{a9b}
26644 @deffn {Function} pyGHDL.libghdl.name_table.Get_Name_Length (Id)
26646 Get the length of an identifier denoted by a @code{NameId}.
26648 @*Parameters: 
26649 @code{Id} (TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{NameId}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})) – NameId for the identifier to query.
26652 @*Return type: 
26653 int@footnote{https://docs.python.org/3.6/library/functions.html#int}
26656 @*Returns: 
26657 Length of the identifier.
26659 @end deffn
26661 @geindex Get_Name_Ptr() (in module pyGHDL.libghdl.name_table)
26662 @anchor{pyGHDL/pyGHDL libghdl name_table pyGHDL libghdl name_table Get_Name_Ptr}@anchor{a9c}
26663 @deffn {Function} pyGHDL.libghdl.name_table.Get_Name_Ptr (Id)
26665 Get the string corresponding to identifier ID. The address is valid until
26666 the next call to Get_Identifier (which may reallocate the string table).
26667 The string is NUL-terminated (this is done by get_identifier).
26669 @*Parameters: 
26670 @code{Id} (TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{NameId}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})) – NameId for the identifier to query.
26673 @*Return type: 
26674 str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
26677 @*Returns: 
26678 Identifier as string.
26680 @end deffn
26682 @geindex Get_Character() (in module pyGHDL.libghdl.name_table)
26683 @anchor{pyGHDL/pyGHDL libghdl name_table pyGHDL libghdl name_table Get_Character}@anchor{a9d}
26684 @deffn {Function} pyGHDL.libghdl.name_table.Get_Character (Id)
26686 Get the string corresponding to character identifier ID.
26688 @cartouche
26689 @quotation Note 
26690 This is used for character literals and enumeration literals.
26691 @end quotation
26692 @end cartouche
26694 @*Parameters: 
26695 @code{Id} (TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{NameId}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})) – NameId for the identifier to query.
26698 @*Return type: 
26699 str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
26702 @*Returns: 
26703 Get the character of the identifier.
26705 @end deffn
26707 @geindex Get_Identifier() (in module pyGHDL.libghdl.name_table)
26708 @anchor{pyGHDL/pyGHDL libghdl name_table pyGHDL libghdl name_table Get_Identifier}@anchor{a9e}
26709 @deffn {Function} pyGHDL.libghdl.name_table.Get_Identifier (string)
26711 Get or create an entry in the name table.
26713 @cartouche
26714 @quotation Note 
26716 @itemize *
26718 @item 
26719 an identifier is represented in all lower case letter,
26721 @item 
26722 an extended identifier is represented in backslashes, double internal
26723 backslashes are simplified.
26724 @end itemize
26725 @end quotation
26726 @end cartouche
26728 @*Parameters: 
26729 @code{string} (str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}) – String to create or lookup.
26732 @*Return type: 
26733 TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{NameId}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
26736 @*Returns: 
26737 Id in name table.
26739 @end deffn
26741 @c # Load pre-defined aliases and graphical characters like © from docutils
26742 @c # <file> is used to denote the special path
26743 @c # <Python>\Lib\site-packages\docutils\parsers\rst\include
26745 @c This data file has been placed in the public domain.
26747 @c Derived from the Unicode character mappings available from
26748 @c <http://www.w3.org/2003/entities/xml/>.
26749 @c Processed by unicode2rstsubs.py, part of Docutils:
26750 @c <http://docutils.sourceforge.net>.
26752 @c This data file has been placed in the public domain.
26754 @c Derived from the Unicode character mappings available from
26755 @c <http://www.w3.org/2003/entities/xml/>.
26756 @c Processed by unicode2rstsubs.py, part of Docutils:
26757 @c <http://docutils.sourceforge.net>.
26759 @c # define a hard line break for HTML
26761 @node pyGHDL libghdl std_names,pyGHDL libghdl str_table,pyGHDL libghdl name_table,pyGHDL libghdl
26762 @anchor{pyGHDL/pyGHDL libghdl std_names doc}@anchor{a9f}@anchor{pyGHDL/pyGHDL libghdl std_names module-pyGHDL libghdl std_names}@anchor{25}@anchor{pyGHDL/pyGHDL libghdl std_names pyghdl-libghdl-std-names}@anchor{aa0}
26763 @subsection pyGHDL.libghdl.std_names
26766 @geindex module; pyGHDL.libghdl.std_names
26768 @c #-----------------------------------
26770 @strong{Classes}
26773 @itemize -
26775 @item 
26776 @ref{354,,Name}:
26777 Undocumented.
26778 @end itemize
26780 @c #-----------------------------------
26782 @geindex Name (class in pyGHDL.libghdl.std_names)
26783 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name}@anchor{354}
26784 @deffn {Class} pyGHDL.libghdl.std_names.Name
26786 @subsubheading Inheritance
26788 @image{inheritance-deed95c51f8b9604aeb62a464fe363d7efe68e13,,,[graphviz],png}
26790 @subsubheading Members
26793 @geindex First_Character (pyGHDL.libghdl.std_names.Name attribute)
26794 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name First_Character}@anchor{aa1}
26795 @deffn {Attribute} First_Character  =  1
26796 @end deffn
26798 @geindex Last_Character (pyGHDL.libghdl.std_names.Name attribute)
26799 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Last_Character}@anchor{aa2}
26800 @deffn {Attribute} Last_Character  =  256
26801 @end deffn
26803 @geindex First_Keyword (pyGHDL.libghdl.std_names.Name attribute)
26804 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name First_Keyword}@anchor{aa3}
26805 @deffn {Attribute} First_Keyword  =  257
26806 @end deffn
26808 @geindex Mod (pyGHDL.libghdl.std_names.Name attribute)
26809 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Mod}@anchor{aa4}
26810 @deffn {Attribute} Mod  =  257
26811 @end deffn
26813 @geindex Rem (pyGHDL.libghdl.std_names.Name attribute)
26814 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Rem}@anchor{aa5}
26815 @deffn {Attribute} Rem  =  258
26816 @end deffn
26818 @geindex Abs (pyGHDL.libghdl.std_names.Name attribute)
26819 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Abs}@anchor{aa6}
26820 @deffn {Attribute} Abs  =  259
26821 @end deffn
26823 @geindex Not (pyGHDL.libghdl.std_names.Name attribute)
26824 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Not}@anchor{aa7}
26825 @deffn {Attribute} Not  =  260
26826 @end deffn
26828 @geindex Access (pyGHDL.libghdl.std_names.Name attribute)
26829 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Access}@anchor{aa8}
26830 @deffn {Attribute} Access  =  261
26831 @end deffn
26833 @geindex After (pyGHDL.libghdl.std_names.Name attribute)
26834 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name After}@anchor{aa9}
26835 @deffn {Attribute} After  =  262
26836 @end deffn
26838 @geindex Alias (pyGHDL.libghdl.std_names.Name attribute)
26839 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Alias}@anchor{aaa}
26840 @deffn {Attribute} Alias  =  263
26841 @end deffn
26843 @geindex All (pyGHDL.libghdl.std_names.Name attribute)
26844 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name All}@anchor{aab}
26845 @deffn {Attribute} All  =  264
26846 @end deffn
26848 @geindex Architecture (pyGHDL.libghdl.std_names.Name attribute)
26849 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Architecture}@anchor{aac}
26850 @deffn {Attribute} Architecture  =  265
26851 @end deffn
26853 @geindex Array (pyGHDL.libghdl.std_names.Name attribute)
26854 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Array}@anchor{aad}
26855 @deffn {Attribute} Array  =  266
26856 @end deffn
26858 @geindex Assert (pyGHDL.libghdl.std_names.Name attribute)
26859 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Assert}@anchor{aae}
26860 @deffn {Attribute} Assert  =  267
26861 @end deffn
26863 @geindex Attribute (pyGHDL.libghdl.std_names.Name attribute)
26864 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Attribute}@anchor{aaf}
26865 @deffn {Attribute} Attribute  =  268
26866 @end deffn
26868 @geindex Begin (pyGHDL.libghdl.std_names.Name attribute)
26869 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Begin}@anchor{ab0}
26870 @deffn {Attribute} Begin  =  269
26871 @end deffn
26873 @geindex Block (pyGHDL.libghdl.std_names.Name attribute)
26874 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Block}@anchor{ab1}
26875 @deffn {Attribute} Block  =  270
26876 @end deffn
26878 @geindex Body (pyGHDL.libghdl.std_names.Name attribute)
26879 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Body}@anchor{ab2}
26880 @deffn {Attribute} Body  =  271
26881 @end deffn
26883 @geindex Buffer (pyGHDL.libghdl.std_names.Name attribute)
26884 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Buffer}@anchor{ab3}
26885 @deffn {Attribute} Buffer  =  272
26886 @end deffn
26888 @geindex Bus (pyGHDL.libghdl.std_names.Name attribute)
26889 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Bus}@anchor{ab4}
26890 @deffn {Attribute} Bus  =  273
26891 @end deffn
26893 @geindex Case (pyGHDL.libghdl.std_names.Name attribute)
26894 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Case}@anchor{ab5}
26895 @deffn {Attribute} Case  =  274
26896 @end deffn
26898 @geindex Component (pyGHDL.libghdl.std_names.Name attribute)
26899 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Component}@anchor{ab6}
26900 @deffn {Attribute} Component  =  275
26901 @end deffn
26903 @geindex Configuration (pyGHDL.libghdl.std_names.Name attribute)
26904 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Configuration}@anchor{ab7}
26905 @deffn {Attribute} Configuration  =  276
26906 @end deffn
26908 @geindex Constant (pyGHDL.libghdl.std_names.Name attribute)
26909 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Constant}@anchor{ab8}
26910 @deffn {Attribute} Constant  =  277
26911 @end deffn
26913 @geindex Disconnect (pyGHDL.libghdl.std_names.Name attribute)
26914 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Disconnect}@anchor{ab9}
26915 @deffn {Attribute} Disconnect  =  278
26916 @end deffn
26918 @geindex Downto (pyGHDL.libghdl.std_names.Name attribute)
26919 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Downto}@anchor{aba}
26920 @deffn {Attribute} Downto  =  279
26921 @end deffn
26923 @geindex Else (pyGHDL.libghdl.std_names.Name attribute)
26924 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Else}@anchor{abb}
26925 @deffn {Attribute} Else  =  280
26926 @end deffn
26928 @geindex Elsif (pyGHDL.libghdl.std_names.Name attribute)
26929 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Elsif}@anchor{abc}
26930 @deffn {Attribute} Elsif  =  281
26931 @end deffn
26933 @geindex End (pyGHDL.libghdl.std_names.Name attribute)
26934 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name End}@anchor{abd}
26935 @deffn {Attribute} End  =  282
26936 @end deffn
26938 @geindex Entity (pyGHDL.libghdl.std_names.Name attribute)
26939 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Entity}@anchor{abe}
26940 @deffn {Attribute} Entity  =  283
26941 @end deffn
26943 @geindex Exit (pyGHDL.libghdl.std_names.Name attribute)
26944 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Exit}@anchor{abf}
26945 @deffn {Attribute} Exit  =  284
26946 @end deffn
26948 @geindex File (pyGHDL.libghdl.std_names.Name attribute)
26949 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name File}@anchor{ac0}
26950 @deffn {Attribute} File  =  285
26951 @end deffn
26953 @geindex For (pyGHDL.libghdl.std_names.Name attribute)
26954 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name For}@anchor{ac1}
26955 @deffn {Attribute} For  =  286
26956 @end deffn
26958 @geindex Function (pyGHDL.libghdl.std_names.Name attribute)
26959 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Function}@anchor{ac2}
26960 @deffn {Attribute} Function  =  287
26961 @end deffn
26963 @geindex Generate (pyGHDL.libghdl.std_names.Name attribute)
26964 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Generate}@anchor{ac3}
26965 @deffn {Attribute} Generate  =  288
26966 @end deffn
26968 @geindex Generic (pyGHDL.libghdl.std_names.Name attribute)
26969 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Generic}@anchor{ac4}
26970 @deffn {Attribute} Generic  =  289
26971 @end deffn
26973 @geindex Guarded (pyGHDL.libghdl.std_names.Name attribute)
26974 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Guarded}@anchor{ac5}
26975 @deffn {Attribute} Guarded  =  290
26976 @end deffn
26978 @geindex If (pyGHDL.libghdl.std_names.Name attribute)
26979 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name If}@anchor{ac6}
26980 @deffn {Attribute} If  =  291
26981 @end deffn
26983 @geindex In (pyGHDL.libghdl.std_names.Name attribute)
26984 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name In}@anchor{ac7}
26985 @deffn {Attribute} In  =  292
26986 @end deffn
26988 @geindex Inout (pyGHDL.libghdl.std_names.Name attribute)
26989 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Inout}@anchor{ac8}
26990 @deffn {Attribute} Inout  =  293
26991 @end deffn
26993 @geindex Is (pyGHDL.libghdl.std_names.Name attribute)
26994 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Is}@anchor{ac9}
26995 @deffn {Attribute} Is  =  294
26996 @end deffn
26998 @geindex Label (pyGHDL.libghdl.std_names.Name attribute)
26999 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Label}@anchor{aca}
27000 @deffn {Attribute} Label  =  295
27001 @end deffn
27003 @geindex Library (pyGHDL.libghdl.std_names.Name attribute)
27004 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Library}@anchor{acb}
27005 @deffn {Attribute} Library  =  296
27006 @end deffn
27008 @geindex Linkage (pyGHDL.libghdl.std_names.Name attribute)
27009 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Linkage}@anchor{acc}
27010 @deffn {Attribute} Linkage  =  297
27011 @end deffn
27013 @geindex Loop (pyGHDL.libghdl.std_names.Name attribute)
27014 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Loop}@anchor{acd}
27015 @deffn {Attribute} Loop  =  298
27016 @end deffn
27018 @geindex Map (pyGHDL.libghdl.std_names.Name attribute)
27019 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Map}@anchor{ace}
27020 @deffn {Attribute} Map  =  299
27021 @end deffn
27023 @geindex New (pyGHDL.libghdl.std_names.Name attribute)
27024 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name New}@anchor{acf}
27025 @deffn {Attribute} New  =  300
27026 @end deffn
27028 @geindex Next (pyGHDL.libghdl.std_names.Name attribute)
27029 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Next}@anchor{ad0}
27030 @deffn {Attribute} Next  =  301
27031 @end deffn
27033 @geindex Null (pyGHDL.libghdl.std_names.Name attribute)
27034 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Null}@anchor{ad1}
27035 @deffn {Attribute} Null  =  302
27036 @end deffn
27038 @geindex Of (pyGHDL.libghdl.std_names.Name attribute)
27039 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Of}@anchor{ad2}
27040 @deffn {Attribute} Of  =  303
27041 @end deffn
27043 @geindex On (pyGHDL.libghdl.std_names.Name attribute)
27044 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name On}@anchor{ad3}
27045 @deffn {Attribute} On  =  304
27046 @end deffn
27048 @geindex Open (pyGHDL.libghdl.std_names.Name attribute)
27049 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Open}@anchor{ad4}
27050 @deffn {Attribute} Open  =  305
27051 @end deffn
27053 @geindex Others (pyGHDL.libghdl.std_names.Name attribute)
27054 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Others}@anchor{ad5}
27055 @deffn {Attribute} Others  =  306
27056 @end deffn
27058 @geindex Out (pyGHDL.libghdl.std_names.Name attribute)
27059 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Out}@anchor{ad6}
27060 @deffn {Attribute} Out  =  307
27061 @end deffn
27063 @geindex Package (pyGHDL.libghdl.std_names.Name attribute)
27064 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Package}@anchor{ad7}
27065 @deffn {Attribute} Package  =  308
27066 @end deffn
27068 @geindex Port (pyGHDL.libghdl.std_names.Name attribute)
27069 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Port}@anchor{ad8}
27070 @deffn {Attribute} Port  =  309
27071 @end deffn
27073 @geindex Procedure (pyGHDL.libghdl.std_names.Name attribute)
27074 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Procedure}@anchor{ad9}
27075 @deffn {Attribute} Procedure  =  310
27076 @end deffn
27078 @geindex Process (pyGHDL.libghdl.std_names.Name attribute)
27079 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Process}@anchor{ada}
27080 @deffn {Attribute} Process  =  311
27081 @end deffn
27083 @geindex Range (pyGHDL.libghdl.std_names.Name attribute)
27084 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Range}@anchor{adb}
27085 @deffn {Attribute} Range  =  312
27086 @end deffn
27088 @geindex Record (pyGHDL.libghdl.std_names.Name attribute)
27089 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Record}@anchor{adc}
27090 @deffn {Attribute} Record  =  313
27091 @end deffn
27093 @geindex Register (pyGHDL.libghdl.std_names.Name attribute)
27094 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Register}@anchor{add}
27095 @deffn {Attribute} Register  =  314
27096 @end deffn
27098 @geindex Report (pyGHDL.libghdl.std_names.Name attribute)
27099 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Report}@anchor{ade}
27100 @deffn {Attribute} Report  =  315
27101 @end deffn
27103 @geindex Return (pyGHDL.libghdl.std_names.Name attribute)
27104 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Return}@anchor{adf}
27105 @deffn {Attribute} Return  =  316
27106 @end deffn
27108 @geindex Select (pyGHDL.libghdl.std_names.Name attribute)
27109 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Select}@anchor{ae0}
27110 @deffn {Attribute} Select  =  317
27111 @end deffn
27113 @geindex Severity (pyGHDL.libghdl.std_names.Name attribute)
27114 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Severity}@anchor{ae1}
27115 @deffn {Attribute} Severity  =  318
27116 @end deffn
27118 @geindex Signal (pyGHDL.libghdl.std_names.Name attribute)
27119 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Signal}@anchor{ae2}
27120 @deffn {Attribute} Signal  =  319
27121 @end deffn
27123 @geindex Subtype (pyGHDL.libghdl.std_names.Name attribute)
27124 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Subtype}@anchor{ae3}
27125 @deffn {Attribute} Subtype  =  320
27126 @end deffn
27128 @geindex Then (pyGHDL.libghdl.std_names.Name attribute)
27129 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Then}@anchor{ae4}
27130 @deffn {Attribute} Then  =  321
27131 @end deffn
27133 @geindex To (pyGHDL.libghdl.std_names.Name attribute)
27134 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name To}@anchor{ae5}
27135 @deffn {Attribute} To  =  322
27136 @end deffn
27138 @geindex Transport (pyGHDL.libghdl.std_names.Name attribute)
27139 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Transport}@anchor{ae6}
27140 @deffn {Attribute} Transport  =  323
27141 @end deffn
27143 @geindex Type (pyGHDL.libghdl.std_names.Name attribute)
27144 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Type}@anchor{ae7}
27145 @deffn {Attribute} Type  =  324
27146 @end deffn
27148 @geindex Units (pyGHDL.libghdl.std_names.Name attribute)
27149 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Units}@anchor{ae8}
27150 @deffn {Attribute} Units  =  325
27151 @end deffn
27153 @geindex Until (pyGHDL.libghdl.std_names.Name attribute)
27154 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Until}@anchor{ae9}
27155 @deffn {Attribute} Until  =  326
27156 @end deffn
27158 @geindex Use (pyGHDL.libghdl.std_names.Name attribute)
27159 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Use}@anchor{aea}
27160 @deffn {Attribute} Use  =  327
27161 @end deffn
27163 @geindex Variable (pyGHDL.libghdl.std_names.Name attribute)
27164 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Variable}@anchor{aeb}
27165 @deffn {Attribute} Variable  =  328
27166 @end deffn
27168 @geindex Wait (pyGHDL.libghdl.std_names.Name attribute)
27169 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Wait}@anchor{aec}
27170 @deffn {Attribute} Wait  =  329
27171 @end deffn
27173 @geindex When (pyGHDL.libghdl.std_names.Name attribute)
27174 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name When}@anchor{aed}
27175 @deffn {Attribute} When  =  330
27176 @end deffn
27178 @geindex While (pyGHDL.libghdl.std_names.Name attribute)
27179 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name While}@anchor{aee}
27180 @deffn {Attribute} While  =  331
27181 @end deffn
27183 @geindex With (pyGHDL.libghdl.std_names.Name attribute)
27184 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name With}@anchor{aef}
27185 @deffn {Attribute} With  =  332
27186 @end deffn
27188 @geindex And (pyGHDL.libghdl.std_names.Name attribute)
27189 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name And}@anchor{af0}
27190 @deffn {Attribute} And  =  333
27191 @end deffn
27193 @geindex Or (pyGHDL.libghdl.std_names.Name attribute)
27194 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Or}@anchor{af1}
27195 @deffn {Attribute} Or  =  334
27196 @end deffn
27198 @geindex Xor (pyGHDL.libghdl.std_names.Name attribute)
27199 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Xor}@anchor{af2}
27200 @deffn {Attribute} Xor  =  335
27201 @end deffn
27203 @geindex Nand (pyGHDL.libghdl.std_names.Name attribute)
27204 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Nand}@anchor{af3}
27205 @deffn {Attribute} Nand  =  336
27206 @end deffn
27208 @geindex Nor (pyGHDL.libghdl.std_names.Name attribute)
27209 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Nor}@anchor{af4}
27210 @deffn {Attribute} Nor  =  337
27211 @end deffn
27213 @geindex Last_Vhdl87 (pyGHDL.libghdl.std_names.Name attribute)
27214 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Last_Vhdl87}@anchor{af5}
27215 @deffn {Attribute} Last_Vhdl87  =  337
27216 @end deffn
27218 @geindex Xnor (pyGHDL.libghdl.std_names.Name attribute)
27219 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Xnor}@anchor{af6}
27220 @deffn {Attribute} Xnor  =  338
27221 @end deffn
27223 @geindex Group (pyGHDL.libghdl.std_names.Name attribute)
27224 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Group}@anchor{af7}
27225 @deffn {Attribute} Group  =  339
27226 @end deffn
27228 @geindex Impure (pyGHDL.libghdl.std_names.Name attribute)
27229 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Impure}@anchor{af8}
27230 @deffn {Attribute} Impure  =  340
27231 @end deffn
27233 @geindex Inertial (pyGHDL.libghdl.std_names.Name attribute)
27234 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Inertial}@anchor{af9}
27235 @deffn {Attribute} Inertial  =  341
27236 @end deffn
27238 @geindex Literal (pyGHDL.libghdl.std_names.Name attribute)
27239 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Literal}@anchor{afa}
27240 @deffn {Attribute} Literal  =  342
27241 @end deffn
27243 @geindex Postponed (pyGHDL.libghdl.std_names.Name attribute)
27244 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Postponed}@anchor{afb}
27245 @deffn {Attribute} Postponed  =  343
27246 @end deffn
27248 @geindex Pure (pyGHDL.libghdl.std_names.Name attribute)
27249 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Pure}@anchor{afc}
27250 @deffn {Attribute} Pure  =  344
27251 @end deffn
27253 @geindex Reject (pyGHDL.libghdl.std_names.Name attribute)
27254 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Reject}@anchor{afd}
27255 @deffn {Attribute} Reject  =  345
27256 @end deffn
27258 @geindex Shared (pyGHDL.libghdl.std_names.Name attribute)
27259 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Shared}@anchor{afe}
27260 @deffn {Attribute} Shared  =  346
27261 @end deffn
27263 @geindex Unaffected (pyGHDL.libghdl.std_names.Name attribute)
27264 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Unaffected}@anchor{aff}
27265 @deffn {Attribute} Unaffected  =  347
27266 @end deffn
27268 @geindex Sll (pyGHDL.libghdl.std_names.Name attribute)
27269 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Sll}@anchor{b00}
27270 @deffn {Attribute} Sll  =  348
27271 @end deffn
27273 @geindex Sla (pyGHDL.libghdl.std_names.Name attribute)
27274 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Sla}@anchor{b01}
27275 @deffn {Attribute} Sla  =  349
27276 @end deffn
27278 @geindex Sra (pyGHDL.libghdl.std_names.Name attribute)
27279 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Sra}@anchor{b02}
27280 @deffn {Attribute} Sra  =  350
27281 @end deffn
27283 @geindex Srl (pyGHDL.libghdl.std_names.Name attribute)
27284 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Srl}@anchor{b03}
27285 @deffn {Attribute} Srl  =  351
27286 @end deffn
27288 @geindex Rol (pyGHDL.libghdl.std_names.Name attribute)
27289 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Rol}@anchor{b04}
27290 @deffn {Attribute} Rol  =  352
27291 @end deffn
27293 @geindex Ror (pyGHDL.libghdl.std_names.Name attribute)
27294 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Ror}@anchor{b05}
27295 @deffn {Attribute} Ror  =  353
27296 @end deffn
27298 @geindex Last_Vhdl93 (pyGHDL.libghdl.std_names.Name attribute)
27299 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Last_Vhdl93}@anchor{b06}
27300 @deffn {Attribute} Last_Vhdl93  =  353
27301 @end deffn
27303 @geindex Protected (pyGHDL.libghdl.std_names.Name attribute)
27304 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Protected}@anchor{b07}
27305 @deffn {Attribute} Protected  =  354
27306 @end deffn
27308 @geindex Last_Vhdl00 (pyGHDL.libghdl.std_names.Name attribute)
27309 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Last_Vhdl00}@anchor{b08}
27310 @deffn {Attribute} Last_Vhdl00  =  354
27311 @end deffn
27313 @geindex Assume (pyGHDL.libghdl.std_names.Name attribute)
27314 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Assume}@anchor{b09}
27315 @deffn {Attribute} Assume  =  355
27316 @end deffn
27318 @geindex Context (pyGHDL.libghdl.std_names.Name attribute)
27319 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Context}@anchor{b0a}
27320 @deffn {Attribute} Context  =  356
27321 @end deffn
27323 @geindex Cover (pyGHDL.libghdl.std_names.Name attribute)
27324 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Cover}@anchor{b0b}
27325 @deffn {Attribute} Cover  =  357
27326 @end deffn
27328 @geindex Default (pyGHDL.libghdl.std_names.Name attribute)
27329 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Default}@anchor{b0c}
27330 @deffn {Attribute} Default  =  358
27331 @end deffn
27333 @geindex Force (pyGHDL.libghdl.std_names.Name attribute)
27334 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Force}@anchor{b0d}
27335 @deffn {Attribute} Force  =  359
27336 @end deffn
27338 @geindex Parameter (pyGHDL.libghdl.std_names.Name attribute)
27339 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Parameter}@anchor{b0e}
27340 @deffn {Attribute} Parameter  =  360
27341 @end deffn
27343 @geindex Property (pyGHDL.libghdl.std_names.Name attribute)
27344 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Property}@anchor{b0f}
27345 @deffn {Attribute} Property  =  361
27346 @end deffn
27348 @geindex Release (pyGHDL.libghdl.std_names.Name attribute)
27349 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Release}@anchor{b10}
27350 @deffn {Attribute} Release  =  362
27351 @end deffn
27353 @geindex Restrict (pyGHDL.libghdl.std_names.Name attribute)
27354 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Restrict}@anchor{b11}
27355 @deffn {Attribute} Restrict  =  363
27356 @end deffn
27358 @geindex Restrict_Guarantee (pyGHDL.libghdl.std_names.Name attribute)
27359 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Restrict_Guarantee}@anchor{b12}
27360 @deffn {Attribute} Restrict_Guarantee  =  364
27361 @end deffn
27363 @geindex Sequence (pyGHDL.libghdl.std_names.Name attribute)
27364 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Sequence}@anchor{b13}
27365 @deffn {Attribute} Sequence  =  365
27366 @end deffn
27368 @geindex Inherit (pyGHDL.libghdl.std_names.Name attribute)
27369 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Inherit}@anchor{b14}
27370 @deffn {Attribute} Inherit  =  366
27371 @end deffn
27373 @geindex Vmode (pyGHDL.libghdl.std_names.Name attribute)
27374 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Vmode}@anchor{b15}
27375 @deffn {Attribute} Vmode  =  367
27376 @end deffn
27378 @geindex Vprop (pyGHDL.libghdl.std_names.Name attribute)
27379 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Vprop}@anchor{b16}
27380 @deffn {Attribute} Vprop  =  368
27381 @end deffn
27383 @geindex Vunit (pyGHDL.libghdl.std_names.Name attribute)
27384 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Vunit}@anchor{b17}
27385 @deffn {Attribute} Vunit  =  369
27386 @end deffn
27388 @geindex Last_Vhdl08 (pyGHDL.libghdl.std_names.Name attribute)
27389 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Last_Vhdl08}@anchor{b18}
27390 @deffn {Attribute} Last_Vhdl08  =  369
27391 @end deffn
27393 @geindex First_Ams_Keyword (pyGHDL.libghdl.std_names.Name attribute)
27394 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name First_Ams_Keyword}@anchor{b19}
27395 @deffn {Attribute} First_Ams_Keyword  =  370
27396 @end deffn
27398 @geindex Across (pyGHDL.libghdl.std_names.Name attribute)
27399 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Across}@anchor{b1a}
27400 @deffn {Attribute} Across  =  370
27401 @end deffn
27403 @geindex Break (pyGHDL.libghdl.std_names.Name attribute)
27404 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Break}@anchor{b1b}
27405 @deffn {Attribute} Break  =  371
27406 @end deffn
27408 @geindex Limit (pyGHDL.libghdl.std_names.Name attribute)
27409 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Limit}@anchor{b1c}
27410 @deffn {Attribute} Limit  =  372
27411 @end deffn
27413 @geindex Nature (pyGHDL.libghdl.std_names.Name attribute)
27414 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Nature}@anchor{b1d}
27415 @deffn {Attribute} Nature  =  373
27416 @end deffn
27418 @geindex Noise (pyGHDL.libghdl.std_names.Name attribute)
27419 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Noise}@anchor{b1e}
27420 @deffn {Attribute} Noise  =  374
27421 @end deffn
27423 @geindex Procedural (pyGHDL.libghdl.std_names.Name attribute)
27424 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Procedural}@anchor{b1f}
27425 @deffn {Attribute} Procedural  =  375
27426 @end deffn
27428 @geindex Quantity (pyGHDL.libghdl.std_names.Name attribute)
27429 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Quantity}@anchor{b20}
27430 @deffn {Attribute} Quantity  =  376
27431 @end deffn
27433 @geindex Reference (pyGHDL.libghdl.std_names.Name attribute)
27434 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Reference}@anchor{b21}
27435 @deffn {Attribute} Reference  =  377
27436 @end deffn
27438 @geindex Spectrum (pyGHDL.libghdl.std_names.Name attribute)
27439 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Spectrum}@anchor{b22}
27440 @deffn {Attribute} Spectrum  =  378
27441 @end deffn
27443 @geindex Subnature (pyGHDL.libghdl.std_names.Name attribute)
27444 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Subnature}@anchor{b23}
27445 @deffn {Attribute} Subnature  =  379
27446 @end deffn
27448 @geindex Terminal (pyGHDL.libghdl.std_names.Name attribute)
27449 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Terminal}@anchor{b24}
27450 @deffn {Attribute} Terminal  =  380
27451 @end deffn
27453 @geindex Through (pyGHDL.libghdl.std_names.Name attribute)
27454 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Through}@anchor{b25}
27455 @deffn {Attribute} Through  =  381
27456 @end deffn
27458 @geindex Tolerance (pyGHDL.libghdl.std_names.Name attribute)
27459 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Tolerance}@anchor{b26}
27460 @deffn {Attribute} Tolerance  =  382
27461 @end deffn
27463 @geindex Last_AMS_Vhdl (pyGHDL.libghdl.std_names.Name attribute)
27464 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Last_AMS_Vhdl}@anchor{b27}
27465 @deffn {Attribute} Last_AMS_Vhdl  =  382
27466 @end deffn
27468 @geindex Last_Keyword (pyGHDL.libghdl.std_names.Name attribute)
27469 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Last_Keyword}@anchor{b28}
27470 @deffn {Attribute} Last_Keyword  =  382
27471 @end deffn
27473 @geindex First_Verilog (pyGHDL.libghdl.std_names.Name attribute)
27474 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name First_Verilog}@anchor{b29}
27475 @deffn {Attribute} First_Verilog  =  383
27476 @end deffn
27478 @geindex Always (pyGHDL.libghdl.std_names.Name attribute)
27479 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Always}@anchor{b2a}
27480 @deffn {Attribute} Always  =  383
27481 @end deffn
27483 @geindex Assign (pyGHDL.libghdl.std_names.Name attribute)
27484 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Assign}@anchor{b2b}
27485 @deffn {Attribute} Assign  =  384
27486 @end deffn
27488 @geindex Buf (pyGHDL.libghdl.std_names.Name attribute)
27489 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Buf}@anchor{b2c}
27490 @deffn {Attribute} Buf  =  385
27491 @end deffn
27493 @geindex Bufif0 (pyGHDL.libghdl.std_names.Name attribute)
27494 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Bufif0}@anchor{b2d}
27495 @deffn {Attribute} Bufif0  =  386
27496 @end deffn
27498 @geindex Bufif1 (pyGHDL.libghdl.std_names.Name attribute)
27499 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Bufif1}@anchor{b2e}
27500 @deffn {Attribute} Bufif1  =  387
27501 @end deffn
27503 @geindex Casex (pyGHDL.libghdl.std_names.Name attribute)
27504 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Casex}@anchor{b2f}
27505 @deffn {Attribute} Casex  =  388
27506 @end deffn
27508 @geindex Casez (pyGHDL.libghdl.std_names.Name attribute)
27509 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Casez}@anchor{b30}
27510 @deffn {Attribute} Casez  =  389
27511 @end deffn
27513 @geindex Cmos (pyGHDL.libghdl.std_names.Name attribute)
27514 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Cmos}@anchor{b31}
27515 @deffn {Attribute} Cmos  =  390
27516 @end deffn
27518 @geindex Deassign (pyGHDL.libghdl.std_names.Name attribute)
27519 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Deassign}@anchor{b32}
27520 @deffn {Attribute} Deassign  =  391
27521 @end deffn
27523 @geindex Defparam (pyGHDL.libghdl.std_names.Name attribute)
27524 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Defparam}@anchor{b33}
27525 @deffn {Attribute} Defparam  =  392
27526 @end deffn
27528 @geindex Disable (pyGHDL.libghdl.std_names.Name attribute)
27529 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Disable}@anchor{b34}
27530 @deffn {Attribute} Disable  =  393
27531 @end deffn
27533 @geindex Edge (pyGHDL.libghdl.std_names.Name attribute)
27534 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Edge}@anchor{b35}
27535 @deffn {Attribute} Edge  =  394
27536 @end deffn
27538 @geindex Endcase (pyGHDL.libghdl.std_names.Name attribute)
27539 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Endcase}@anchor{b36}
27540 @deffn {Attribute} Endcase  =  395
27541 @end deffn
27543 @geindex Endfunction (pyGHDL.libghdl.std_names.Name attribute)
27544 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Endfunction}@anchor{b37}
27545 @deffn {Attribute} Endfunction  =  396
27546 @end deffn
27548 @geindex Endmodule (pyGHDL.libghdl.std_names.Name attribute)
27549 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Endmodule}@anchor{b38}
27550 @deffn {Attribute} Endmodule  =  397
27551 @end deffn
27553 @geindex Endprimitive (pyGHDL.libghdl.std_names.Name attribute)
27554 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Endprimitive}@anchor{b39}
27555 @deffn {Attribute} Endprimitive  =  398
27556 @end deffn
27558 @geindex Endspecify (pyGHDL.libghdl.std_names.Name attribute)
27559 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Endspecify}@anchor{b3a}
27560 @deffn {Attribute} Endspecify  =  399
27561 @end deffn
27563 @geindex Endtable (pyGHDL.libghdl.std_names.Name attribute)
27564 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Endtable}@anchor{b3b}
27565 @deffn {Attribute} Endtable  =  400
27566 @end deffn
27568 @geindex Endtask (pyGHDL.libghdl.std_names.Name attribute)
27569 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Endtask}@anchor{b3c}
27570 @deffn {Attribute} Endtask  =  401
27571 @end deffn
27573 @geindex Forever (pyGHDL.libghdl.std_names.Name attribute)
27574 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Forever}@anchor{b3d}
27575 @deffn {Attribute} Forever  =  402
27576 @end deffn
27578 @geindex Fork (pyGHDL.libghdl.std_names.Name attribute)
27579 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Fork}@anchor{b3e}
27580 @deffn {Attribute} Fork  =  403
27581 @end deffn
27583 @geindex Highz0 (pyGHDL.libghdl.std_names.Name attribute)
27584 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Highz0}@anchor{b3f}
27585 @deffn {Attribute} Highz0  =  404
27586 @end deffn
27588 @geindex Highz1 (pyGHDL.libghdl.std_names.Name attribute)
27589 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Highz1}@anchor{b40}
27590 @deffn {Attribute} Highz1  =  405
27591 @end deffn
27593 @geindex Ifnone (pyGHDL.libghdl.std_names.Name attribute)
27594 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Ifnone}@anchor{b41}
27595 @deffn {Attribute} Ifnone  =  406
27596 @end deffn
27598 @geindex Initial (pyGHDL.libghdl.std_names.Name attribute)
27599 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Initial}@anchor{b42}
27600 @deffn {Attribute} Initial  =  407
27601 @end deffn
27603 @geindex Input (pyGHDL.libghdl.std_names.Name attribute)
27604 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Input}@anchor{b43}
27605 @deffn {Attribute} Input  =  408
27606 @end deffn
27608 @geindex Join (pyGHDL.libghdl.std_names.Name attribute)
27609 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Join}@anchor{b44}
27610 @deffn {Attribute} Join  =  409
27611 @end deffn
27613 @geindex Large (pyGHDL.libghdl.std_names.Name attribute)
27614 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Large}@anchor{b45}
27615 @deffn {Attribute} Large  =  410
27616 @end deffn
27618 @geindex Macromodule (pyGHDL.libghdl.std_names.Name attribute)
27619 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Macromodule}@anchor{b46}
27620 @deffn {Attribute} Macromodule  =  411
27621 @end deffn
27623 @geindex Medium (pyGHDL.libghdl.std_names.Name attribute)
27624 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Medium}@anchor{b47}
27625 @deffn {Attribute} Medium  =  412
27626 @end deffn
27628 @geindex Module (pyGHDL.libghdl.std_names.Name attribute)
27629 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Module}@anchor{b48}
27630 @deffn {Attribute} Module  =  413
27631 @end deffn
27633 @geindex Negedge (pyGHDL.libghdl.std_names.Name attribute)
27634 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Negedge}@anchor{b49}
27635 @deffn {Attribute} Negedge  =  414
27636 @end deffn
27638 @geindex Nmos (pyGHDL.libghdl.std_names.Name attribute)
27639 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Nmos}@anchor{b4a}
27640 @deffn {Attribute} Nmos  =  415
27641 @end deffn
27643 @geindex Notif0 (pyGHDL.libghdl.std_names.Name attribute)
27644 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Notif0}@anchor{b4b}
27645 @deffn {Attribute} Notif0  =  416
27646 @end deffn
27648 @geindex Notif1 (pyGHDL.libghdl.std_names.Name attribute)
27649 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Notif1}@anchor{b4c}
27650 @deffn {Attribute} Notif1  =  417
27651 @end deffn
27653 @geindex Output (pyGHDL.libghdl.std_names.Name attribute)
27654 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Output}@anchor{b4d}
27655 @deffn {Attribute} Output  =  418
27656 @end deffn
27658 @geindex Pmos (pyGHDL.libghdl.std_names.Name attribute)
27659 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Pmos}@anchor{b4e}
27660 @deffn {Attribute} Pmos  =  419
27661 @end deffn
27663 @geindex Posedge (pyGHDL.libghdl.std_names.Name attribute)
27664 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Posedge}@anchor{b4f}
27665 @deffn {Attribute} Posedge  =  420
27666 @end deffn
27668 @geindex Primitive (pyGHDL.libghdl.std_names.Name attribute)
27669 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Primitive}@anchor{b50}
27670 @deffn {Attribute} Primitive  =  421
27671 @end deffn
27673 @geindex Pull0 (pyGHDL.libghdl.std_names.Name attribute)
27674 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Pull0}@anchor{b51}
27675 @deffn {Attribute} Pull0  =  422
27676 @end deffn
27678 @geindex Pull1 (pyGHDL.libghdl.std_names.Name attribute)
27679 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Pull1}@anchor{b52}
27680 @deffn {Attribute} Pull1  =  423
27681 @end deffn
27683 @geindex Pulldown (pyGHDL.libghdl.std_names.Name attribute)
27684 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Pulldown}@anchor{b53}
27685 @deffn {Attribute} Pulldown  =  424
27686 @end deffn
27688 @geindex Pullup (pyGHDL.libghdl.std_names.Name attribute)
27689 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Pullup}@anchor{b54}
27690 @deffn {Attribute} Pullup  =  425
27691 @end deffn
27693 @geindex Realtime (pyGHDL.libghdl.std_names.Name attribute)
27694 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Realtime}@anchor{b55}
27695 @deffn {Attribute} Realtime  =  426
27696 @end deffn
27698 @geindex Reg (pyGHDL.libghdl.std_names.Name attribute)
27699 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Reg}@anchor{b56}
27700 @deffn {Attribute} Reg  =  427
27701 @end deffn
27703 @geindex Repeat (pyGHDL.libghdl.std_names.Name attribute)
27704 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Repeat}@anchor{b57}
27705 @deffn {Attribute} Repeat  =  428
27706 @end deffn
27708 @geindex Rcmos (pyGHDL.libghdl.std_names.Name attribute)
27709 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Rcmos}@anchor{b58}
27710 @deffn {Attribute} Rcmos  =  429
27711 @end deffn
27713 @geindex Rnmos (pyGHDL.libghdl.std_names.Name attribute)
27714 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Rnmos}@anchor{b59}
27715 @deffn {Attribute} Rnmos  =  430
27716 @end deffn
27718 @geindex Rpmos (pyGHDL.libghdl.std_names.Name attribute)
27719 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Rpmos}@anchor{b5a}
27720 @deffn {Attribute} Rpmos  =  431
27721 @end deffn
27723 @geindex Rtran (pyGHDL.libghdl.std_names.Name attribute)
27724 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Rtran}@anchor{b5b}
27725 @deffn {Attribute} Rtran  =  432
27726 @end deffn
27728 @geindex Rtranif0 (pyGHDL.libghdl.std_names.Name attribute)
27729 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Rtranif0}@anchor{b5c}
27730 @deffn {Attribute} Rtranif0  =  433
27731 @end deffn
27733 @geindex Rtranif1 (pyGHDL.libghdl.std_names.Name attribute)
27734 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Rtranif1}@anchor{b5d}
27735 @deffn {Attribute} Rtranif1  =  434
27736 @end deffn
27738 @geindex Scalared (pyGHDL.libghdl.std_names.Name attribute)
27739 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Scalared}@anchor{b5e}
27740 @deffn {Attribute} Scalared  =  435
27741 @end deffn
27743 @geindex Small (pyGHDL.libghdl.std_names.Name attribute)
27744 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Small}@anchor{b5f}
27745 @deffn {Attribute} Small  =  436
27746 @end deffn
27748 @geindex Specify (pyGHDL.libghdl.std_names.Name attribute)
27749 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Specify}@anchor{b60}
27750 @deffn {Attribute} Specify  =  437
27751 @end deffn
27753 @geindex Specparam (pyGHDL.libghdl.std_names.Name attribute)
27754 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Specparam}@anchor{b61}
27755 @deffn {Attribute} Specparam  =  438
27756 @end deffn
27758 @geindex Strong0 (pyGHDL.libghdl.std_names.Name attribute)
27759 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Strong0}@anchor{b62}
27760 @deffn {Attribute} Strong0  =  439
27761 @end deffn
27763 @geindex Strong1 (pyGHDL.libghdl.std_names.Name attribute)
27764 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Strong1}@anchor{b63}
27765 @deffn {Attribute} Strong1  =  440
27766 @end deffn
27768 @geindex Supply0 (pyGHDL.libghdl.std_names.Name attribute)
27769 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Supply0}@anchor{b64}
27770 @deffn {Attribute} Supply0  =  441
27771 @end deffn
27773 @geindex Supply1 (pyGHDL.libghdl.std_names.Name attribute)
27774 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Supply1}@anchor{b65}
27775 @deffn {Attribute} Supply1  =  442
27776 @end deffn
27778 @geindex Tablex (pyGHDL.libghdl.std_names.Name attribute)
27779 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Tablex}@anchor{b66}
27780 @deffn {Attribute} Tablex  =  443
27781 @end deffn
27783 @geindex Task (pyGHDL.libghdl.std_names.Name attribute)
27784 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Task}@anchor{b67}
27785 @deffn {Attribute} Task  =  444
27786 @end deffn
27788 @geindex Tran (pyGHDL.libghdl.std_names.Name attribute)
27789 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Tran}@anchor{b68}
27790 @deffn {Attribute} Tran  =  445
27791 @end deffn
27793 @geindex Tranif0 (pyGHDL.libghdl.std_names.Name attribute)
27794 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Tranif0}@anchor{b69}
27795 @deffn {Attribute} Tranif0  =  446
27796 @end deffn
27798 @geindex Tranif1 (pyGHDL.libghdl.std_names.Name attribute)
27799 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Tranif1}@anchor{b6a}
27800 @deffn {Attribute} Tranif1  =  447
27801 @end deffn
27803 @geindex Tri (pyGHDL.libghdl.std_names.Name attribute)
27804 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Tri}@anchor{b6b}
27805 @deffn {Attribute} Tri  =  448
27806 @end deffn
27808 @geindex Tri0 (pyGHDL.libghdl.std_names.Name attribute)
27809 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Tri0}@anchor{b6c}
27810 @deffn {Attribute} Tri0  =  449
27811 @end deffn
27813 @geindex Tri1 (pyGHDL.libghdl.std_names.Name attribute)
27814 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Tri1}@anchor{b6d}
27815 @deffn {Attribute} Tri1  =  450
27816 @end deffn
27818 @geindex Triand (pyGHDL.libghdl.std_names.Name attribute)
27819 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Triand}@anchor{b6e}
27820 @deffn {Attribute} Triand  =  451
27821 @end deffn
27823 @geindex Trior (pyGHDL.libghdl.std_names.Name attribute)
27824 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Trior}@anchor{b6f}
27825 @deffn {Attribute} Trior  =  452
27826 @end deffn
27828 @geindex Trireg (pyGHDL.libghdl.std_names.Name attribute)
27829 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Trireg}@anchor{b70}
27830 @deffn {Attribute} Trireg  =  453
27831 @end deffn
27833 @geindex Vectored (pyGHDL.libghdl.std_names.Name attribute)
27834 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Vectored}@anchor{b71}
27835 @deffn {Attribute} Vectored  =  454
27836 @end deffn
27838 @geindex Wand (pyGHDL.libghdl.std_names.Name attribute)
27839 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Wand}@anchor{b72}
27840 @deffn {Attribute} Wand  =  455
27841 @end deffn
27843 @geindex Weak0 (pyGHDL.libghdl.std_names.Name attribute)
27844 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Weak0}@anchor{b73}
27845 @deffn {Attribute} Weak0  =  456
27846 @end deffn
27848 @geindex Weak1 (pyGHDL.libghdl.std_names.Name attribute)
27849 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Weak1}@anchor{b74}
27850 @deffn {Attribute} Weak1  =  457
27851 @end deffn
27853 @geindex Wire (pyGHDL.libghdl.std_names.Name attribute)
27854 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Wire}@anchor{b75}
27855 @deffn {Attribute} Wire  =  458
27856 @end deffn
27858 @geindex Wor (pyGHDL.libghdl.std_names.Name attribute)
27859 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Wor}@anchor{b76}
27860 @deffn {Attribute} Wor  =  459
27861 @end deffn
27863 @geindex Last_Verilog (pyGHDL.libghdl.std_names.Name attribute)
27864 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Last_Verilog}@anchor{b77}
27865 @deffn {Attribute} Last_Verilog  =  459
27866 @end deffn
27868 @geindex First_V2001 (pyGHDL.libghdl.std_names.Name attribute)
27869 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name First_V2001}@anchor{b78}
27870 @deffn {Attribute} First_V2001  =  460
27871 @end deffn
27873 @geindex Automatic (pyGHDL.libghdl.std_names.Name attribute)
27874 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Automatic}@anchor{b79}
27875 @deffn {Attribute} Automatic  =  460
27876 @end deffn
27878 @geindex Endgenerate (pyGHDL.libghdl.std_names.Name attribute)
27879 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Endgenerate}@anchor{b7a}
27880 @deffn {Attribute} Endgenerate  =  461
27881 @end deffn
27883 @geindex Genvar (pyGHDL.libghdl.std_names.Name attribute)
27884 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Genvar}@anchor{b7b}
27885 @deffn {Attribute} Genvar  =  462
27886 @end deffn
27888 @geindex Localparam (pyGHDL.libghdl.std_names.Name attribute)
27889 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Localparam}@anchor{b7c}
27890 @deffn {Attribute} Localparam  =  463
27891 @end deffn
27893 @geindex Unsigned (pyGHDL.libghdl.std_names.Name attribute)
27894 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Unsigned}@anchor{b7d}
27895 @deffn {Attribute} Unsigned  =  464
27896 @end deffn
27898 @geindex Signed (pyGHDL.libghdl.std_names.Name attribute)
27899 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Signed}@anchor{b7e}
27900 @deffn {Attribute} Signed  =  465
27901 @end deffn
27903 @geindex Last_V2001 (pyGHDL.libghdl.std_names.Name attribute)
27904 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Last_V2001}@anchor{b7f}
27905 @deffn {Attribute} Last_V2001  =  465
27906 @end deffn
27908 @geindex Uwire (pyGHDL.libghdl.std_names.Name attribute)
27909 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Uwire}@anchor{b80}
27910 @deffn {Attribute} Uwire  =  466
27911 @end deffn
27913 @geindex First_SV3_0 (pyGHDL.libghdl.std_names.Name attribute)
27914 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name First_SV3_0}@anchor{b81}
27915 @deffn {Attribute} First_SV3_0  =  467
27916 @end deffn
27918 @geindex Always_Comb (pyGHDL.libghdl.std_names.Name attribute)
27919 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Always_Comb}@anchor{b82}
27920 @deffn {Attribute} Always_Comb  =  467
27921 @end deffn
27923 @geindex Always_Ff (pyGHDL.libghdl.std_names.Name attribute)
27924 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Always_Ff}@anchor{b83}
27925 @deffn {Attribute} Always_Ff  =  468
27926 @end deffn
27928 @geindex Always_Latch (pyGHDL.libghdl.std_names.Name attribute)
27929 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Always_Latch}@anchor{b84}
27930 @deffn {Attribute} Always_Latch  =  469
27931 @end deffn
27933 @geindex Bit (pyGHDL.libghdl.std_names.Name attribute)
27934 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Bit}@anchor{b85}
27935 @deffn {Attribute} Bit  =  470
27936 @end deffn
27938 @geindex Byte (pyGHDL.libghdl.std_names.Name attribute)
27939 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Byte}@anchor{b86}
27940 @deffn {Attribute} Byte  =  471
27941 @end deffn
27943 @geindex Changed (pyGHDL.libghdl.std_names.Name attribute)
27944 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Changed}@anchor{b87}
27945 @deffn {Attribute} Changed  =  472
27946 @end deffn
27948 @geindex Char (pyGHDL.libghdl.std_names.Name attribute)
27949 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Char}@anchor{b88}
27950 @deffn {Attribute} Char  =  473
27951 @end deffn
27953 @geindex Const (pyGHDL.libghdl.std_names.Name attribute)
27954 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Const}@anchor{b89}
27955 @deffn {Attribute} Const  =  474
27956 @end deffn
27958 @geindex Continue (pyGHDL.libghdl.std_names.Name attribute)
27959 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Continue}@anchor{b8a}
27960 @deffn {Attribute} Continue  =  475
27961 @end deffn
27963 @geindex Do (pyGHDL.libghdl.std_names.Name attribute)
27964 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Do}@anchor{b8b}
27965 @deffn {Attribute} Do  =  476
27966 @end deffn
27968 @geindex Endinterface (pyGHDL.libghdl.std_names.Name attribute)
27969 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Endinterface}@anchor{b8c}
27970 @deffn {Attribute} Endinterface  =  477
27971 @end deffn
27973 @geindex Endtransition (pyGHDL.libghdl.std_names.Name attribute)
27974 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Endtransition}@anchor{b8d}
27975 @deffn {Attribute} Endtransition  =  478
27976 @end deffn
27978 @geindex Enum (pyGHDL.libghdl.std_names.Name attribute)
27979 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Enum}@anchor{b8e}
27980 @deffn {Attribute} Enum  =  479
27981 @end deffn
27983 @geindex Export (pyGHDL.libghdl.std_names.Name attribute)
27984 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Export}@anchor{b8f}
27985 @deffn {Attribute} Export  =  480
27986 @end deffn
27988 @geindex Extern (pyGHDL.libghdl.std_names.Name attribute)
27989 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Extern}@anchor{b90}
27990 @deffn {Attribute} Extern  =  481
27991 @end deffn
27993 @geindex Forkjoin (pyGHDL.libghdl.std_names.Name attribute)
27994 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Forkjoin}@anchor{b91}
27995 @deffn {Attribute} Forkjoin  =  482
27996 @end deffn
27998 @geindex Iff (pyGHDL.libghdl.std_names.Name attribute)
27999 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Iff}@anchor{b92}
28000 @deffn {Attribute} Iff  =  483
28001 @end deffn
28003 @geindex Import (pyGHDL.libghdl.std_names.Name attribute)
28004 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Import}@anchor{b93}
28005 @deffn {Attribute} Import  =  484
28006 @end deffn
28008 @geindex Int (pyGHDL.libghdl.std_names.Name attribute)
28009 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Int}@anchor{b94}
28010 @deffn {Attribute} Int  =  485
28011 @end deffn
28013 @geindex Interface (pyGHDL.libghdl.std_names.Name attribute)
28014 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Interface}@anchor{b95}
28015 @deffn {Attribute} Interface  =  486
28016 @end deffn
28018 @geindex Logic (pyGHDL.libghdl.std_names.Name attribute)
28019 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Logic}@anchor{b96}
28020 @deffn {Attribute} Logic  =  487
28021 @end deffn
28023 @geindex Longint (pyGHDL.libghdl.std_names.Name attribute)
28024 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Longint}@anchor{b97}
28025 @deffn {Attribute} Longint  =  488
28026 @end deffn
28028 @geindex Longreal (pyGHDL.libghdl.std_names.Name attribute)
28029 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Longreal}@anchor{b98}
28030 @deffn {Attribute} Longreal  =  489
28031 @end deffn
28033 @geindex Modport (pyGHDL.libghdl.std_names.Name attribute)
28034 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Modport}@anchor{b99}
28035 @deffn {Attribute} Modport  =  490
28036 @end deffn
28038 @geindex Packed (pyGHDL.libghdl.std_names.Name attribute)
28039 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Packed}@anchor{b9a}
28040 @deffn {Attribute} Packed  =  491
28041 @end deffn
28043 @geindex Priority (pyGHDL.libghdl.std_names.Name attribute)
28044 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Priority}@anchor{b9b}
28045 @deffn {Attribute} Priority  =  492
28046 @end deffn
28048 @geindex Shortint (pyGHDL.libghdl.std_names.Name attribute)
28049 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Shortint}@anchor{b9c}
28050 @deffn {Attribute} Shortint  =  493
28051 @end deffn
28053 @geindex Shortreal (pyGHDL.libghdl.std_names.Name attribute)
28054 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Shortreal}@anchor{b9d}
28055 @deffn {Attribute} Shortreal  =  494
28056 @end deffn
28058 @geindex Static (pyGHDL.libghdl.std_names.Name attribute)
28059 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Static}@anchor{b9e}
28060 @deffn {Attribute} Static  =  495
28061 @end deffn
28063 @geindex Struct (pyGHDL.libghdl.std_names.Name attribute)
28064 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Struct}@anchor{b9f}
28065 @deffn {Attribute} Struct  =  496
28066 @end deffn
28068 @geindex Timeprecision (pyGHDL.libghdl.std_names.Name attribute)
28069 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Timeprecision}@anchor{ba0}
28070 @deffn {Attribute} Timeprecision  =  497
28071 @end deffn
28073 @geindex Timeunit (pyGHDL.libghdl.std_names.Name attribute)
28074 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Timeunit}@anchor{ba1}
28075 @deffn {Attribute} Timeunit  =  498
28076 @end deffn
28078 @geindex Transition (pyGHDL.libghdl.std_names.Name attribute)
28079 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Transition}@anchor{ba2}
28080 @deffn {Attribute} Transition  =  499
28081 @end deffn
28083 @geindex Typedef (pyGHDL.libghdl.std_names.Name attribute)
28084 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Typedef}@anchor{ba3}
28085 @deffn {Attribute} Typedef  =  500
28086 @end deffn
28088 @geindex Union (pyGHDL.libghdl.std_names.Name attribute)
28089 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Union}@anchor{ba4}
28090 @deffn {Attribute} Union  =  501
28091 @end deffn
28093 @geindex Unique (pyGHDL.libghdl.std_names.Name attribute)
28094 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Unique}@anchor{ba5}
28095 @deffn {Attribute} Unique  =  502
28096 @end deffn
28098 @geindex Unique0 (pyGHDL.libghdl.std_names.Name attribute)
28099 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Unique0}@anchor{ba6}
28100 @deffn {Attribute} Unique0  =  503
28101 @end deffn
28103 @geindex Void (pyGHDL.libghdl.std_names.Name attribute)
28104 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Void}@anchor{ba7}
28105 @deffn {Attribute} Void  =  504
28106 @end deffn
28108 @geindex Last_SV3_0 (pyGHDL.libghdl.std_names.Name attribute)
28109 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Last_SV3_0}@anchor{ba8}
28110 @deffn {Attribute} Last_SV3_0  =  504
28111 @end deffn
28113 @geindex First_SV3_1 (pyGHDL.libghdl.std_names.Name attribute)
28114 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name First_SV3_1}@anchor{ba9}
28115 @deffn {Attribute} First_SV3_1  =  505
28116 @end deffn
28118 @geindex Chandle (pyGHDL.libghdl.std_names.Name attribute)
28119 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Chandle}@anchor{baa}
28120 @deffn {Attribute} Chandle  =  505
28121 @end deffn
28123 @geindex Class (pyGHDL.libghdl.std_names.Name attribute)
28124 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Class}@anchor{bab}
28125 @deffn {Attribute} Class  =  506
28126 @end deffn
28128 @geindex Clocking (pyGHDL.libghdl.std_names.Name attribute)
28129 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Clocking}@anchor{bac}
28130 @deffn {Attribute} Clocking  =  507
28131 @end deffn
28133 @geindex Constraint (pyGHDL.libghdl.std_names.Name attribute)
28134 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Constraint}@anchor{bad}
28135 @deffn {Attribute} Constraint  =  508
28136 @end deffn
28138 @geindex Dist (pyGHDL.libghdl.std_names.Name attribute)
28139 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Dist}@anchor{bae}
28140 @deffn {Attribute} Dist  =  509
28141 @end deffn
28143 @geindex Endclass (pyGHDL.libghdl.std_names.Name attribute)
28144 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Endclass}@anchor{baf}
28145 @deffn {Attribute} Endclass  =  510
28146 @end deffn
28148 @geindex Endclocking (pyGHDL.libghdl.std_names.Name attribute)
28149 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Endclocking}@anchor{bb0}
28150 @deffn {Attribute} Endclocking  =  511
28151 @end deffn
28153 @geindex Endprogram (pyGHDL.libghdl.std_names.Name attribute)
28154 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Endprogram}@anchor{bb1}
28155 @deffn {Attribute} Endprogram  =  512
28156 @end deffn
28158 @geindex Endproperty (pyGHDL.libghdl.std_names.Name attribute)
28159 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Endproperty}@anchor{bb2}
28160 @deffn {Attribute} Endproperty  =  513
28161 @end deffn
28163 @geindex Endsequence (pyGHDL.libghdl.std_names.Name attribute)
28164 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Endsequence}@anchor{bb3}
28165 @deffn {Attribute} Endsequence  =  514
28166 @end deffn
28168 @geindex Extends (pyGHDL.libghdl.std_names.Name attribute)
28169 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Extends}@anchor{bb4}
28170 @deffn {Attribute} Extends  =  515
28171 @end deffn
28173 @geindex Final (pyGHDL.libghdl.std_names.Name attribute)
28174 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Final}@anchor{bb5}
28175 @deffn {Attribute} Final  =  516
28176 @end deffn
28178 @geindex First_Match (pyGHDL.libghdl.std_names.Name attribute)
28179 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name First_Match}@anchor{bb6}
28180 @deffn {Attribute} First_Match  =  517
28181 @end deffn
28183 @geindex Inside (pyGHDL.libghdl.std_names.Name attribute)
28184 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Inside}@anchor{bb7}
28185 @deffn {Attribute} Inside  =  518
28186 @end deffn
28188 @geindex Intersect (pyGHDL.libghdl.std_names.Name attribute)
28189 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Intersect}@anchor{bb8}
28190 @deffn {Attribute} Intersect  =  519
28191 @end deffn
28193 @geindex Join_Any (pyGHDL.libghdl.std_names.Name attribute)
28194 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Join_Any}@anchor{bb9}
28195 @deffn {Attribute} Join_Any  =  520
28196 @end deffn
28198 @geindex Join_None (pyGHDL.libghdl.std_names.Name attribute)
28199 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Join_None}@anchor{bba}
28200 @deffn {Attribute} Join_None  =  521
28201 @end deffn
28203 @geindex Local (pyGHDL.libghdl.std_names.Name attribute)
28204 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Local}@anchor{bbb}
28205 @deffn {Attribute} Local  =  522
28206 @end deffn
28208 @geindex Program (pyGHDL.libghdl.std_names.Name attribute)
28209 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Program}@anchor{bbc}
28210 @deffn {Attribute} Program  =  523
28211 @end deffn
28213 @geindex Rand (pyGHDL.libghdl.std_names.Name attribute)
28214 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Rand}@anchor{bbd}
28215 @deffn {Attribute} Rand  =  524
28216 @end deffn
28218 @geindex Randc (pyGHDL.libghdl.std_names.Name attribute)
28219 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Randc}@anchor{bbe}
28220 @deffn {Attribute} Randc  =  525
28221 @end deffn
28223 @geindex Ref (pyGHDL.libghdl.std_names.Name attribute)
28224 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Ref}@anchor{bbf}
28225 @deffn {Attribute} Ref  =  526
28226 @end deffn
28228 @geindex Solve (pyGHDL.libghdl.std_names.Name attribute)
28229 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Solve}@anchor{bc0}
28230 @deffn {Attribute} Solve  =  527
28231 @end deffn
28233 @geindex String (pyGHDL.libghdl.std_names.Name attribute)
28234 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name String}@anchor{bc1}
28235 @deffn {Attribute} String  =  528
28236 @end deffn
28238 @geindex Super (pyGHDL.libghdl.std_names.Name attribute)
28239 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Super}@anchor{bc2}
28240 @deffn {Attribute} Super  =  529
28241 @end deffn
28243 @geindex This (pyGHDL.libghdl.std_names.Name attribute)
28244 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name This}@anchor{bc3}
28245 @deffn {Attribute} This  =  530
28246 @end deffn
28248 @geindex Throughout (pyGHDL.libghdl.std_names.Name attribute)
28249 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Throughout}@anchor{bc4}
28250 @deffn {Attribute} Throughout  =  531
28251 @end deffn
28253 @geindex Var (pyGHDL.libghdl.std_names.Name attribute)
28254 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Var}@anchor{bc5}
28255 @deffn {Attribute} Var  =  532
28256 @end deffn
28258 @geindex Virtual (pyGHDL.libghdl.std_names.Name attribute)
28259 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Virtual}@anchor{bc6}
28260 @deffn {Attribute} Virtual  =  533
28261 @end deffn
28263 @geindex Wait_Order (pyGHDL.libghdl.std_names.Name attribute)
28264 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Wait_Order}@anchor{bc7}
28265 @deffn {Attribute} Wait_Order  =  534
28266 @end deffn
28268 @geindex Last_SV3_1 (pyGHDL.libghdl.std_names.Name attribute)
28269 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Last_SV3_1}@anchor{bc8}
28270 @deffn {Attribute} Last_SV3_1  =  534
28271 @end deffn
28273 @geindex First_SV3_1a (pyGHDL.libghdl.std_names.Name attribute)
28274 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name First_SV3_1a}@anchor{bc9}
28275 @deffn {Attribute} First_SV3_1a  =  535
28276 @end deffn
28278 @geindex Covergroup (pyGHDL.libghdl.std_names.Name attribute)
28279 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Covergroup}@anchor{bca}
28280 @deffn {Attribute} Covergroup  =  535
28281 @end deffn
28283 @geindex Coverpoint (pyGHDL.libghdl.std_names.Name attribute)
28284 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Coverpoint}@anchor{bcb}
28285 @deffn {Attribute} Coverpoint  =  536
28286 @end deffn
28288 @geindex Endgroup (pyGHDL.libghdl.std_names.Name attribute)
28289 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Endgroup}@anchor{bcc}
28290 @deffn {Attribute} Endgroup  =  537
28291 @end deffn
28293 @geindex Endpackage (pyGHDL.libghdl.std_names.Name attribute)
28294 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Endpackage}@anchor{bcd}
28295 @deffn {Attribute} Endpackage  =  538
28296 @end deffn
28298 @geindex Expect (pyGHDL.libghdl.std_names.Name attribute)
28299 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Expect}@anchor{bce}
28300 @deffn {Attribute} Expect  =  539
28301 @end deffn
28303 @geindex Foreach (pyGHDL.libghdl.std_names.Name attribute)
28304 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Foreach}@anchor{bcf}
28305 @deffn {Attribute} Foreach  =  540
28306 @end deffn
28308 @geindex Ignore_Bins (pyGHDL.libghdl.std_names.Name attribute)
28309 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Ignore_Bins}@anchor{bd0}
28310 @deffn {Attribute} Ignore_Bins  =  541
28311 @end deffn
28313 @geindex Illegal_Bins (pyGHDL.libghdl.std_names.Name attribute)
28314 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Illegal_Bins}@anchor{bd1}
28315 @deffn {Attribute} Illegal_Bins  =  542
28316 @end deffn
28318 @geindex Matches (pyGHDL.libghdl.std_names.Name attribute)
28319 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Matches}@anchor{bd2}
28320 @deffn {Attribute} Matches  =  543
28321 @end deffn
28323 @geindex Randcase (pyGHDL.libghdl.std_names.Name attribute)
28324 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Randcase}@anchor{bd3}
28325 @deffn {Attribute} Randcase  =  544
28326 @end deffn
28328 @geindex Randsequence (pyGHDL.libghdl.std_names.Name attribute)
28329 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Randsequence}@anchor{bd4}
28330 @deffn {Attribute} Randsequence  =  545
28331 @end deffn
28333 @geindex Tagged (pyGHDL.libghdl.std_names.Name attribute)
28334 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Tagged}@anchor{bd5}
28335 @deffn {Attribute} Tagged  =  546
28336 @end deffn
28338 @geindex Wildcard (pyGHDL.libghdl.std_names.Name attribute)
28339 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Wildcard}@anchor{bd6}
28340 @deffn {Attribute} Wildcard  =  547
28341 @end deffn
28343 @geindex Last_SV3_1a (pyGHDL.libghdl.std_names.Name attribute)
28344 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Last_SV3_1a}@anchor{bd7}
28345 @deffn {Attribute} Last_SV3_1a  =  547
28346 @end deffn
28348 @geindex First_SV2009 (pyGHDL.libghdl.std_names.Name attribute)
28349 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name First_SV2009}@anchor{bd8}
28350 @deffn {Attribute} First_SV2009  =  548
28351 @end deffn
28353 @geindex Implies (pyGHDL.libghdl.std_names.Name attribute)
28354 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Implies}@anchor{bd9}
28355 @deffn {Attribute} Implies  =  548
28356 @end deffn
28358 @geindex S_Until (pyGHDL.libghdl.std_names.Name attribute)
28359 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name S_Until}@anchor{bda}
28360 @deffn {Attribute} S_Until  =  549
28361 @end deffn
28363 @geindex S_Until_With (pyGHDL.libghdl.std_names.Name attribute)
28364 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name S_Until_With}@anchor{bdb}
28365 @deffn {Attribute} S_Until_With  =  550
28366 @end deffn
28368 @geindex Until_With (pyGHDL.libghdl.std_names.Name attribute)
28369 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Until_With}@anchor{bdc}
28370 @deffn {Attribute} Until_With  =  551
28371 @end deffn
28373 @geindex Last_SV2009 (pyGHDL.libghdl.std_names.Name attribute)
28374 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Last_SV2009}@anchor{bdd}
28375 @deffn {Attribute} Last_SV2009  =  551
28376 @end deffn
28378 @geindex First_Operator (pyGHDL.libghdl.std_names.Name attribute)
28379 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name First_Operator}@anchor{bde}
28380 @deffn {Attribute} First_Operator  =  552
28381 @end deffn
28383 @geindex Op_Equality (pyGHDL.libghdl.std_names.Name attribute)
28384 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Op_Equality}@anchor{bdf}
28385 @deffn {Attribute} Op_Equality  =  552
28386 @end deffn
28388 @geindex Op_Inequality (pyGHDL.libghdl.std_names.Name attribute)
28389 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Op_Inequality}@anchor{be0}
28390 @deffn {Attribute} Op_Inequality  =  553
28391 @end deffn
28393 @geindex Op_Less (pyGHDL.libghdl.std_names.Name attribute)
28394 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Op_Less}@anchor{be1}
28395 @deffn {Attribute} Op_Less  =  554
28396 @end deffn
28398 @geindex Op_Less_Equal (pyGHDL.libghdl.std_names.Name attribute)
28399 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Op_Less_Equal}@anchor{be2}
28400 @deffn {Attribute} Op_Less_Equal  =  555
28401 @end deffn
28403 @geindex Op_Greater (pyGHDL.libghdl.std_names.Name attribute)
28404 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Op_Greater}@anchor{be3}
28405 @deffn {Attribute} Op_Greater  =  556
28406 @end deffn
28408 @geindex Op_Greater_Equal (pyGHDL.libghdl.std_names.Name attribute)
28409 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Op_Greater_Equal}@anchor{be4}
28410 @deffn {Attribute} Op_Greater_Equal  =  557
28411 @end deffn
28413 @geindex Op_Plus (pyGHDL.libghdl.std_names.Name attribute)
28414 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Op_Plus}@anchor{be5}
28415 @deffn {Attribute} Op_Plus  =  558
28416 @end deffn
28418 @geindex Op_Minus (pyGHDL.libghdl.std_names.Name attribute)
28419 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Op_Minus}@anchor{be6}
28420 @deffn {Attribute} Op_Minus  =  559
28421 @end deffn
28423 @geindex Op_Mul (pyGHDL.libghdl.std_names.Name attribute)
28424 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Op_Mul}@anchor{be7}
28425 @deffn {Attribute} Op_Mul  =  560
28426 @end deffn
28428 @geindex Op_Div (pyGHDL.libghdl.std_names.Name attribute)
28429 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Op_Div}@anchor{be8}
28430 @deffn {Attribute} Op_Div  =  561
28431 @end deffn
28433 @geindex Op_Exp (pyGHDL.libghdl.std_names.Name attribute)
28434 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Op_Exp}@anchor{be9}
28435 @deffn {Attribute} Op_Exp  =  562
28436 @end deffn
28438 @geindex Op_Concatenation (pyGHDL.libghdl.std_names.Name attribute)
28439 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Op_Concatenation}@anchor{bea}
28440 @deffn {Attribute} Op_Concatenation  =  563
28441 @end deffn
28443 @geindex Op_Condition (pyGHDL.libghdl.std_names.Name attribute)
28444 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Op_Condition}@anchor{beb}
28445 @deffn {Attribute} Op_Condition  =  564
28446 @end deffn
28448 @geindex Op_Match_Equality (pyGHDL.libghdl.std_names.Name attribute)
28449 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Op_Match_Equality}@anchor{bec}
28450 @deffn {Attribute} Op_Match_Equality  =  565
28451 @end deffn
28453 @geindex Op_Match_Inequality (pyGHDL.libghdl.std_names.Name attribute)
28454 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Op_Match_Inequality}@anchor{bed}
28455 @deffn {Attribute} Op_Match_Inequality  =  566
28456 @end deffn
28458 @geindex Op_Match_Less (pyGHDL.libghdl.std_names.Name attribute)
28459 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Op_Match_Less}@anchor{bee}
28460 @deffn {Attribute} Op_Match_Less  =  567
28461 @end deffn
28463 @geindex Op_Match_Less_Equal (pyGHDL.libghdl.std_names.Name attribute)
28464 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Op_Match_Less_Equal}@anchor{bef}
28465 @deffn {Attribute} Op_Match_Less_Equal  =  568
28466 @end deffn
28468 @geindex Op_Match_Greater (pyGHDL.libghdl.std_names.Name attribute)
28469 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Op_Match_Greater}@anchor{bf0}
28470 @deffn {Attribute} Op_Match_Greater  =  569
28471 @end deffn
28473 @geindex Op_Match_Greater_Equal (pyGHDL.libghdl.std_names.Name attribute)
28474 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Op_Match_Greater_Equal}@anchor{bf1}
28475 @deffn {Attribute} Op_Match_Greater_Equal  =  570
28476 @end deffn
28478 @geindex Last_Operator (pyGHDL.libghdl.std_names.Name attribute)
28479 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Last_Operator}@anchor{bf2}
28480 @deffn {Attribute} Last_Operator  =  570
28481 @end deffn
28483 @geindex First_Attribute (pyGHDL.libghdl.std_names.Name attribute)
28484 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name First_Attribute}@anchor{bf3}
28485 @deffn {Attribute} First_Attribute  =  571
28486 @end deffn
28488 @geindex Base (pyGHDL.libghdl.std_names.Name attribute)
28489 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Base}@anchor{bf4}
28490 @deffn {Attribute} Base  =  571
28491 @end deffn
28493 @geindex Left (pyGHDL.libghdl.std_names.Name attribute)
28494 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Left}@anchor{bf5}
28495 @deffn {Attribute} Left  =  572
28496 @end deffn
28498 @geindex Right (pyGHDL.libghdl.std_names.Name attribute)
28499 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Right}@anchor{bf6}
28500 @deffn {Attribute} Right  =  573
28501 @end deffn
28503 @geindex High (pyGHDL.libghdl.std_names.Name attribute)
28504 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name High}@anchor{bf7}
28505 @deffn {Attribute} High  =  574
28506 @end deffn
28508 @geindex Low (pyGHDL.libghdl.std_names.Name attribute)
28509 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Low}@anchor{bf8}
28510 @deffn {Attribute} Low  =  575
28511 @end deffn
28513 @geindex Pos (pyGHDL.libghdl.std_names.Name attribute)
28514 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Pos}@anchor{bf9}
28515 @deffn {Attribute} Pos  =  576
28516 @end deffn
28518 @geindex Val (pyGHDL.libghdl.std_names.Name attribute)
28519 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Val}@anchor{bfa}
28520 @deffn {Attribute} Val  =  577
28521 @end deffn
28523 @geindex Succ (pyGHDL.libghdl.std_names.Name attribute)
28524 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Succ}@anchor{bfb}
28525 @deffn {Attribute} Succ  =  578
28526 @end deffn
28528 @geindex Pred (pyGHDL.libghdl.std_names.Name attribute)
28529 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Pred}@anchor{bfc}
28530 @deffn {Attribute} Pred  =  579
28531 @end deffn
28533 @geindex Leftof (pyGHDL.libghdl.std_names.Name attribute)
28534 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Leftof}@anchor{bfd}
28535 @deffn {Attribute} Leftof  =  580
28536 @end deffn
28538 @geindex Rightof (pyGHDL.libghdl.std_names.Name attribute)
28539 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Rightof}@anchor{bfe}
28540 @deffn {Attribute} Rightof  =  581
28541 @end deffn
28543 @geindex Reverse_Range (pyGHDL.libghdl.std_names.Name attribute)
28544 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Reverse_Range}@anchor{bff}
28545 @deffn {Attribute} Reverse_Range  =  582
28546 @end deffn
28548 @geindex Length (pyGHDL.libghdl.std_names.Name attribute)
28549 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Length}@anchor{c00}
28550 @deffn {Attribute} Length  =  583
28551 @end deffn
28553 @geindex Delayed (pyGHDL.libghdl.std_names.Name attribute)
28554 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Delayed}@anchor{c01}
28555 @deffn {Attribute} Delayed  =  584
28556 @end deffn
28558 @geindex Stable (pyGHDL.libghdl.std_names.Name attribute)
28559 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Stable}@anchor{c02}
28560 @deffn {Attribute} Stable  =  585
28561 @end deffn
28563 @geindex Quiet (pyGHDL.libghdl.std_names.Name attribute)
28564 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Quiet}@anchor{c03}
28565 @deffn {Attribute} Quiet  =  586
28566 @end deffn
28568 @geindex Transaction (pyGHDL.libghdl.std_names.Name attribute)
28569 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Transaction}@anchor{c04}
28570 @deffn {Attribute} Transaction  =  587
28571 @end deffn
28573 @geindex Event (pyGHDL.libghdl.std_names.Name attribute)
28574 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Event}@anchor{c05}
28575 @deffn {Attribute} Event  =  588
28576 @end deffn
28578 @geindex Active (pyGHDL.libghdl.std_names.Name attribute)
28579 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Active}@anchor{c06}
28580 @deffn {Attribute} Active  =  589
28581 @end deffn
28583 @geindex Last_Event (pyGHDL.libghdl.std_names.Name attribute)
28584 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Last_Event}@anchor{c07}
28585 @deffn {Attribute} Last_Event  =  590
28586 @end deffn
28588 @geindex Last_Active (pyGHDL.libghdl.std_names.Name attribute)
28589 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Last_Active}@anchor{c08}
28590 @deffn {Attribute} Last_Active  =  591
28591 @end deffn
28593 @geindex Last_Value (pyGHDL.libghdl.std_names.Name attribute)
28594 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Last_Value}@anchor{c09}
28595 @deffn {Attribute} Last_Value  =  592
28596 @end deffn
28598 @geindex Last_Attribute (pyGHDL.libghdl.std_names.Name attribute)
28599 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Last_Attribute}@anchor{c0a}
28600 @deffn {Attribute} Last_Attribute  =  592
28601 @end deffn
28603 @geindex First_Vhdl87_Attribute (pyGHDL.libghdl.std_names.Name attribute)
28604 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name First_Vhdl87_Attribute}@anchor{c0b}
28605 @deffn {Attribute} First_Vhdl87_Attribute  =  593
28606 @end deffn
28608 @geindex Behavior (pyGHDL.libghdl.std_names.Name attribute)
28609 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Behavior}@anchor{c0c}
28610 @deffn {Attribute} Behavior  =  593
28611 @end deffn
28613 @geindex Structure (pyGHDL.libghdl.std_names.Name attribute)
28614 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Structure}@anchor{c0d}
28615 @deffn {Attribute} Structure  =  594
28616 @end deffn
28618 @geindex Last_Vhdl87_Attribute (pyGHDL.libghdl.std_names.Name attribute)
28619 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Last_Vhdl87_Attribute}@anchor{c0e}
28620 @deffn {Attribute} Last_Vhdl87_Attribute  =  594
28621 @end deffn
28623 @geindex First_Vhdl93_Attribute (pyGHDL.libghdl.std_names.Name attribute)
28624 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name First_Vhdl93_Attribute}@anchor{c0f}
28625 @deffn {Attribute} First_Vhdl93_Attribute  =  595
28626 @end deffn
28628 @geindex Ascending (pyGHDL.libghdl.std_names.Name attribute)
28629 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Ascending}@anchor{c10}
28630 @deffn {Attribute} Ascending  =  595
28631 @end deffn
28633 @geindex Image (pyGHDL.libghdl.std_names.Name attribute)
28634 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Image}@anchor{c11}
28635 @deffn {Attribute} Image  =  596
28636 @end deffn
28638 @geindex Value (pyGHDL.libghdl.std_names.Name attribute)
28639 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Value}@anchor{c12}
28640 @deffn {Attribute} Value  =  597
28641 @end deffn
28643 @geindex Driving (pyGHDL.libghdl.std_names.Name attribute)
28644 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Driving}@anchor{c13}
28645 @deffn {Attribute} Driving  =  598
28646 @end deffn
28648 @geindex Driving_Value (pyGHDL.libghdl.std_names.Name attribute)
28649 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Driving_Value}@anchor{c14}
28650 @deffn {Attribute} Driving_Value  =  599
28651 @end deffn
28653 @geindex Simple_Name (pyGHDL.libghdl.std_names.Name attribute)
28654 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Simple_Name}@anchor{c15}
28655 @deffn {Attribute} Simple_Name  =  600
28656 @end deffn
28658 @geindex Instance_Name (pyGHDL.libghdl.std_names.Name attribute)
28659 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Instance_Name}@anchor{c16}
28660 @deffn {Attribute} Instance_Name  =  601
28661 @end deffn
28663 @geindex Path_Name (pyGHDL.libghdl.std_names.Name attribute)
28664 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Path_Name}@anchor{c17}
28665 @deffn {Attribute} Path_Name  =  602
28666 @end deffn
28668 @geindex Last_Vhdl93_Attribute (pyGHDL.libghdl.std_names.Name attribute)
28669 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Last_Vhdl93_Attribute}@anchor{c18}
28670 @deffn {Attribute} Last_Vhdl93_Attribute  =  602
28671 @end deffn
28673 @geindex First_Vhdl08_Attribute (pyGHDL.libghdl.std_names.Name attribute)
28674 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name First_Vhdl08_Attribute}@anchor{c19}
28675 @deffn {Attribute} First_Vhdl08_Attribute  =  603
28676 @end deffn
28678 @geindex Element (pyGHDL.libghdl.std_names.Name attribute)
28679 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Element}@anchor{c1a}
28680 @deffn {Attribute} Element  =  603
28681 @end deffn
28683 @geindex Last_Vhdl08_Attribute (pyGHDL.libghdl.std_names.Name attribute)
28684 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Last_Vhdl08_Attribute}@anchor{c1b}
28685 @deffn {Attribute} Last_Vhdl08_Attribute  =  603
28686 @end deffn
28688 @geindex First_AMS_Attribute (pyGHDL.libghdl.std_names.Name attribute)
28689 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name First_AMS_Attribute}@anchor{c1c}
28690 @deffn {Attribute} First_AMS_Attribute  =  604
28691 @end deffn
28693 @geindex Contribution (pyGHDL.libghdl.std_names.Name attribute)
28694 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Contribution}@anchor{c1d}
28695 @deffn {Attribute} Contribution  =  604
28696 @end deffn
28698 @geindex Dot (pyGHDL.libghdl.std_names.Name attribute)
28699 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Dot}@anchor{c1e}
28700 @deffn {Attribute} Dot  =  605
28701 @end deffn
28703 @geindex Integ (pyGHDL.libghdl.std_names.Name attribute)
28704 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Integ}@anchor{c1f}
28705 @deffn {Attribute} Integ  =  606
28706 @end deffn
28708 @geindex Above (pyGHDL.libghdl.std_names.Name attribute)
28709 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Above}@anchor{c20}
28710 @deffn {Attribute} Above  =  607
28711 @end deffn
28713 @geindex Zoh (pyGHDL.libghdl.std_names.Name attribute)
28714 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Zoh}@anchor{c21}
28715 @deffn {Attribute} Zoh  =  608
28716 @end deffn
28718 @geindex Ltf (pyGHDL.libghdl.std_names.Name attribute)
28719 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Ltf}@anchor{c22}
28720 @deffn {Attribute} Ltf  =  609
28721 @end deffn
28723 @geindex Ztf (pyGHDL.libghdl.std_names.Name attribute)
28724 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Ztf}@anchor{c23}
28725 @deffn {Attribute} Ztf  =  610
28726 @end deffn
28728 @geindex Ramp (pyGHDL.libghdl.std_names.Name attribute)
28729 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Ramp}@anchor{c24}
28730 @deffn {Attribute} Ramp  =  611
28731 @end deffn
28733 @geindex Slew (pyGHDL.libghdl.std_names.Name attribute)
28734 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Slew}@anchor{c25}
28735 @deffn {Attribute} Slew  =  612
28736 @end deffn
28738 @geindex Last_AMS_Attribute (pyGHDL.libghdl.std_names.Name attribute)
28739 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Last_AMS_Attribute}@anchor{c26}
28740 @deffn {Attribute} Last_AMS_Attribute  =  612
28741 @end deffn
28743 @geindex First_Standard (pyGHDL.libghdl.std_names.Name attribute)
28744 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name First_Standard}@anchor{c27}
28745 @deffn {Attribute} First_Standard  =  613
28746 @end deffn
28748 @geindex Std (pyGHDL.libghdl.std_names.Name attribute)
28749 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Std}@anchor{c28}
28750 @deffn {Attribute} Std  =  613
28751 @end deffn
28753 @geindex Standard (pyGHDL.libghdl.std_names.Name attribute)
28754 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Standard}@anchor{c29}
28755 @deffn {Attribute} Standard  =  614
28756 @end deffn
28758 @geindex Boolean (pyGHDL.libghdl.std_names.Name attribute)
28759 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Boolean}@anchor{c2a}
28760 @deffn {Attribute} Boolean  =  615
28761 @end deffn
28763 @geindex NFalse (pyGHDL.libghdl.std_names.Name attribute)
28764 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name NFalse}@anchor{c2b}
28765 @deffn {Attribute} NFalse  =  616
28766 @end deffn
28768 @geindex NTrue (pyGHDL.libghdl.std_names.Name attribute)
28769 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name NTrue}@anchor{c2c}
28770 @deffn {Attribute} NTrue  =  617
28771 @end deffn
28773 @geindex Character (pyGHDL.libghdl.std_names.Name attribute)
28774 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Character}@anchor{c2d}
28775 @deffn {Attribute} Character  =  618
28776 @end deffn
28778 @geindex Severity_Level (pyGHDL.libghdl.std_names.Name attribute)
28779 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Severity_Level}@anchor{c2e}
28780 @deffn {Attribute} Severity_Level  =  619
28781 @end deffn
28783 @geindex Note (pyGHDL.libghdl.std_names.Name attribute)
28784 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Note}@anchor{c2f}
28785 @deffn {Attribute} Note  =  620
28786 @end deffn
28788 @geindex Warning (pyGHDL.libghdl.std_names.Name attribute)
28789 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Warning}@anchor{c30}
28790 @deffn {Attribute} Warning  =  621
28791 @end deffn
28793 @geindex Error (pyGHDL.libghdl.std_names.Name attribute)
28794 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Error}@anchor{c31}
28795 @deffn {Attribute} Error  =  622
28796 @end deffn
28798 @geindex Failure (pyGHDL.libghdl.std_names.Name attribute)
28799 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Failure}@anchor{c32}
28800 @deffn {Attribute} Failure  =  623
28801 @end deffn
28803 @geindex Universal_Integer (pyGHDL.libghdl.std_names.Name attribute)
28804 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Universal_Integer}@anchor{c33}
28805 @deffn {Attribute} Universal_Integer  =  624
28806 @end deffn
28808 @geindex Universal_Real (pyGHDL.libghdl.std_names.Name attribute)
28809 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Universal_Real}@anchor{c34}
28810 @deffn {Attribute} Universal_Real  =  625
28811 @end deffn
28813 @geindex Convertible_Integer (pyGHDL.libghdl.std_names.Name attribute)
28814 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Convertible_Integer}@anchor{c35}
28815 @deffn {Attribute} Convertible_Integer  =  626
28816 @end deffn
28818 @geindex Convertible_Real (pyGHDL.libghdl.std_names.Name attribute)
28819 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Convertible_Real}@anchor{c36}
28820 @deffn {Attribute} Convertible_Real  =  627
28821 @end deffn
28823 @geindex Integer (pyGHDL.libghdl.std_names.Name attribute)
28824 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Integer}@anchor{c37}
28825 @deffn {Attribute} Integer  =  628
28826 @end deffn
28828 @geindex Real (pyGHDL.libghdl.std_names.Name attribute)
28829 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Real}@anchor{c38}
28830 @deffn {Attribute} Real  =  629
28831 @end deffn
28833 @geindex Time (pyGHDL.libghdl.std_names.Name attribute)
28834 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Time}@anchor{c39}
28835 @deffn {Attribute} Time  =  630
28836 @end deffn
28838 @geindex Fs (pyGHDL.libghdl.std_names.Name attribute)
28839 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Fs}@anchor{c3a}
28840 @deffn {Attribute} Fs  =  631
28841 @end deffn
28843 @geindex Ps (pyGHDL.libghdl.std_names.Name attribute)
28844 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Ps}@anchor{c3b}
28845 @deffn {Attribute} Ps  =  632
28846 @end deffn
28848 @geindex Ns (pyGHDL.libghdl.std_names.Name attribute)
28849 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Ns}@anchor{c3c}
28850 @deffn {Attribute} Ns  =  633
28851 @end deffn
28853 @geindex Us (pyGHDL.libghdl.std_names.Name attribute)
28854 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Us}@anchor{c3d}
28855 @deffn {Attribute} Us  =  634
28856 @end deffn
28858 @geindex Ms (pyGHDL.libghdl.std_names.Name attribute)
28859 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Ms}@anchor{c3e}
28860 @deffn {Attribute} Ms  =  635
28861 @end deffn
28863 @geindex Sec (pyGHDL.libghdl.std_names.Name attribute)
28864 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Sec}@anchor{c3f}
28865 @deffn {Attribute} Sec  =  636
28866 @end deffn
28868 @geindex Min (pyGHDL.libghdl.std_names.Name attribute)
28869 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Min}@anchor{c40}
28870 @deffn {Attribute} Min  =  637
28871 @end deffn
28873 @geindex Hr (pyGHDL.libghdl.std_names.Name attribute)
28874 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Hr}@anchor{c41}
28875 @deffn {Attribute} Hr  =  638
28876 @end deffn
28878 @geindex Max (pyGHDL.libghdl.std_names.Name attribute)
28879 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Max}@anchor{c42}
28880 @deffn {Attribute} Max  =  639
28881 @end deffn
28883 @geindex Delay_Length (pyGHDL.libghdl.std_names.Name attribute)
28884 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Delay_Length}@anchor{c43}
28885 @deffn {Attribute} Delay_Length  =  640
28886 @end deffn
28888 @geindex Now (pyGHDL.libghdl.std_names.Name attribute)
28889 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Now}@anchor{c44}
28890 @deffn {Attribute} Now  =  641
28891 @end deffn
28893 @geindex Natural (pyGHDL.libghdl.std_names.Name attribute)
28894 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Natural}@anchor{c45}
28895 @deffn {Attribute} Natural  =  642
28896 @end deffn
28898 @geindex Positive (pyGHDL.libghdl.std_names.Name attribute)
28899 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Positive}@anchor{c46}
28900 @deffn {Attribute} Positive  =  643
28901 @end deffn
28903 @geindex Bit_Vector (pyGHDL.libghdl.std_names.Name attribute)
28904 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Bit_Vector}@anchor{c47}
28905 @deffn {Attribute} Bit_Vector  =  644
28906 @end deffn
28908 @geindex File_Open_Kind (pyGHDL.libghdl.std_names.Name attribute)
28909 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name File_Open_Kind}@anchor{c48}
28910 @deffn {Attribute} File_Open_Kind  =  645
28911 @end deffn
28913 @geindex Read_Mode (pyGHDL.libghdl.std_names.Name attribute)
28914 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Read_Mode}@anchor{c49}
28915 @deffn {Attribute} Read_Mode  =  646
28916 @end deffn
28918 @geindex Write_Mode (pyGHDL.libghdl.std_names.Name attribute)
28919 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Write_Mode}@anchor{c4a}
28920 @deffn {Attribute} Write_Mode  =  647
28921 @end deffn
28923 @geindex Append_Mode (pyGHDL.libghdl.std_names.Name attribute)
28924 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Append_Mode}@anchor{c4b}
28925 @deffn {Attribute} Append_Mode  =  648
28926 @end deffn
28928 @geindex File_Open_Status (pyGHDL.libghdl.std_names.Name attribute)
28929 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name File_Open_Status}@anchor{c4c}
28930 @deffn {Attribute} File_Open_Status  =  649
28931 @end deffn
28933 @geindex Open_Ok (pyGHDL.libghdl.std_names.Name attribute)
28934 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Open_Ok}@anchor{c4d}
28935 @deffn {Attribute} Open_Ok  =  650
28936 @end deffn
28938 @geindex Status_Error (pyGHDL.libghdl.std_names.Name attribute)
28939 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Status_Error}@anchor{c4e}
28940 @deffn {Attribute} Status_Error  =  651
28941 @end deffn
28943 @geindex Name_Error (pyGHDL.libghdl.std_names.Name attribute)
28944 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Name_Error}@anchor{c4f}
28945 @deffn {Attribute} Name_Error  =  652
28946 @end deffn
28948 @geindex Mode_Error (pyGHDL.libghdl.std_names.Name attribute)
28949 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Mode_Error}@anchor{c50}
28950 @deffn {Attribute} Mode_Error  =  653
28951 @end deffn
28953 @geindex Foreign (pyGHDL.libghdl.std_names.Name attribute)
28954 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Foreign}@anchor{c51}
28955 @deffn {Attribute} Foreign  =  654
28956 @end deffn
28958 @geindex Boolean_Vector (pyGHDL.libghdl.std_names.Name attribute)
28959 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Boolean_Vector}@anchor{c52}
28960 @deffn {Attribute} Boolean_Vector  =  655
28961 @end deffn
28963 @geindex To_Bstring (pyGHDL.libghdl.std_names.Name attribute)
28964 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name To_Bstring}@anchor{c53}
28965 @deffn {Attribute} To_Bstring  =  656
28966 @end deffn
28968 @geindex To_Binary_String (pyGHDL.libghdl.std_names.Name attribute)
28969 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name To_Binary_String}@anchor{c54}
28970 @deffn {Attribute} To_Binary_String  =  657
28971 @end deffn
28973 @geindex To_Ostring (pyGHDL.libghdl.std_names.Name attribute)
28974 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name To_Ostring}@anchor{c55}
28975 @deffn {Attribute} To_Ostring  =  658
28976 @end deffn
28978 @geindex To_Octal_String (pyGHDL.libghdl.std_names.Name attribute)
28979 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name To_Octal_String}@anchor{c56}
28980 @deffn {Attribute} To_Octal_String  =  659
28981 @end deffn
28983 @geindex To_Hstring (pyGHDL.libghdl.std_names.Name attribute)
28984 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name To_Hstring}@anchor{c57}
28985 @deffn {Attribute} To_Hstring  =  660
28986 @end deffn
28988 @geindex To_Hex_String (pyGHDL.libghdl.std_names.Name attribute)
28989 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name To_Hex_String}@anchor{c58}
28990 @deffn {Attribute} To_Hex_String  =  661
28991 @end deffn
28993 @geindex Integer_Vector (pyGHDL.libghdl.std_names.Name attribute)
28994 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Integer_Vector}@anchor{c59}
28995 @deffn {Attribute} Integer_Vector  =  662
28996 @end deffn
28998 @geindex Real_Vector (pyGHDL.libghdl.std_names.Name attribute)
28999 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Real_Vector}@anchor{c5a}
29000 @deffn {Attribute} Real_Vector  =  663
29001 @end deffn
29003 @geindex Time_Vector (pyGHDL.libghdl.std_names.Name attribute)
29004 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Time_Vector}@anchor{c5b}
29005 @deffn {Attribute} Time_Vector  =  664
29006 @end deffn
29008 @geindex Digits (pyGHDL.libghdl.std_names.Name attribute)
29009 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Digits}@anchor{c5c}
29010 @deffn {Attribute} Digits  =  665
29011 @end deffn
29013 @geindex Format (pyGHDL.libghdl.std_names.Name attribute)
29014 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Format}@anchor{c5d}
29015 @deffn {Attribute} Format  =  666
29016 @end deffn
29018 @geindex Unit (pyGHDL.libghdl.std_names.Name attribute)
29019 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Unit}@anchor{c5e}
29020 @deffn {Attribute} Unit  =  667
29021 @end deffn
29023 @geindex Domain_Type (pyGHDL.libghdl.std_names.Name attribute)
29024 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Domain_Type}@anchor{c5f}
29025 @deffn {Attribute} Domain_Type  =  668
29026 @end deffn
29028 @geindex Quiescent_Domain (pyGHDL.libghdl.std_names.Name attribute)
29029 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Quiescent_Domain}@anchor{c60}
29030 @deffn {Attribute} Quiescent_Domain  =  669
29031 @end deffn
29033 @geindex Time_Domain (pyGHDL.libghdl.std_names.Name attribute)
29034 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Time_Domain}@anchor{c61}
29035 @deffn {Attribute} Time_Domain  =  670
29036 @end deffn
29038 @geindex Frequency_Domain (pyGHDL.libghdl.std_names.Name attribute)
29039 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Frequency_Domain}@anchor{c62}
29040 @deffn {Attribute} Frequency_Domain  =  671
29041 @end deffn
29043 @geindex Domain (pyGHDL.libghdl.std_names.Name attribute)
29044 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Domain}@anchor{c63}
29045 @deffn {Attribute} Domain  =  672
29046 @end deffn
29048 @geindex Frequency (pyGHDL.libghdl.std_names.Name attribute)
29049 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Frequency}@anchor{c64}
29050 @deffn {Attribute} Frequency  =  673
29051 @end deffn
29053 @geindex Last_Standard (pyGHDL.libghdl.std_names.Name attribute)
29054 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Last_Standard}@anchor{c65}
29055 @deffn {Attribute} Last_Standard  =  673
29056 @end deffn
29058 @geindex First_Charname (pyGHDL.libghdl.std_names.Name attribute)
29059 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name First_Charname}@anchor{c66}
29060 @deffn {Attribute} First_Charname  =  674
29061 @end deffn
29063 @geindex Nul (pyGHDL.libghdl.std_names.Name attribute)
29064 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Nul}@anchor{c67}
29065 @deffn {Attribute} Nul  =  674
29066 @end deffn
29068 @geindex Soh (pyGHDL.libghdl.std_names.Name attribute)
29069 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Soh}@anchor{c68}
29070 @deffn {Attribute} Soh  =  675
29071 @end deffn
29073 @geindex Stx (pyGHDL.libghdl.std_names.Name attribute)
29074 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Stx}@anchor{c69}
29075 @deffn {Attribute} Stx  =  676
29076 @end deffn
29078 @geindex Etx (pyGHDL.libghdl.std_names.Name attribute)
29079 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Etx}@anchor{c6a}
29080 @deffn {Attribute} Etx  =  677
29081 @end deffn
29083 @geindex Eot (pyGHDL.libghdl.std_names.Name attribute)
29084 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Eot}@anchor{c6b}
29085 @deffn {Attribute} Eot  =  678
29086 @end deffn
29088 @geindex Enq (pyGHDL.libghdl.std_names.Name attribute)
29089 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Enq}@anchor{c6c}
29090 @deffn {Attribute} Enq  =  679
29091 @end deffn
29093 @geindex Ack (pyGHDL.libghdl.std_names.Name attribute)
29094 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Ack}@anchor{c6d}
29095 @deffn {Attribute} Ack  =  680
29096 @end deffn
29098 @geindex Bel (pyGHDL.libghdl.std_names.Name attribute)
29099 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Bel}@anchor{c6e}
29100 @deffn {Attribute} Bel  =  681
29101 @end deffn
29103 @geindex Bs (pyGHDL.libghdl.std_names.Name attribute)
29104 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Bs}@anchor{c6f}
29105 @deffn {Attribute} Bs  =  682
29106 @end deffn
29108 @geindex Ht (pyGHDL.libghdl.std_names.Name attribute)
29109 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Ht}@anchor{c70}
29110 @deffn {Attribute} Ht  =  683
29111 @end deffn
29113 @geindex Lf (pyGHDL.libghdl.std_names.Name attribute)
29114 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Lf}@anchor{c71}
29115 @deffn {Attribute} Lf  =  684
29116 @end deffn
29118 @geindex Vt (pyGHDL.libghdl.std_names.Name attribute)
29119 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Vt}@anchor{c72}
29120 @deffn {Attribute} Vt  =  685
29121 @end deffn
29123 @geindex Ff (pyGHDL.libghdl.std_names.Name attribute)
29124 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Ff}@anchor{c73}
29125 @deffn {Attribute} Ff  =  686
29126 @end deffn
29128 @geindex Cr (pyGHDL.libghdl.std_names.Name attribute)
29129 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Cr}@anchor{c74}
29130 @deffn {Attribute} Cr  =  687
29131 @end deffn
29133 @geindex So (pyGHDL.libghdl.std_names.Name attribute)
29134 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name So}@anchor{c75}
29135 @deffn {Attribute} So  =  688
29136 @end deffn
29138 @geindex Si (pyGHDL.libghdl.std_names.Name attribute)
29139 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Si}@anchor{c76}
29140 @deffn {Attribute} Si  =  689
29141 @end deffn
29143 @geindex Dle (pyGHDL.libghdl.std_names.Name attribute)
29144 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Dle}@anchor{c77}
29145 @deffn {Attribute} Dle  =  690
29146 @end deffn
29148 @geindex Dc1 (pyGHDL.libghdl.std_names.Name attribute)
29149 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Dc1}@anchor{c78}
29150 @deffn {Attribute} Dc1  =  691
29151 @end deffn
29153 @geindex Dc2 (pyGHDL.libghdl.std_names.Name attribute)
29154 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Dc2}@anchor{c79}
29155 @deffn {Attribute} Dc2  =  692
29156 @end deffn
29158 @geindex Dc3 (pyGHDL.libghdl.std_names.Name attribute)
29159 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Dc3}@anchor{c7a}
29160 @deffn {Attribute} Dc3  =  693
29161 @end deffn
29163 @geindex Dc4 (pyGHDL.libghdl.std_names.Name attribute)
29164 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Dc4}@anchor{c7b}
29165 @deffn {Attribute} Dc4  =  694
29166 @end deffn
29168 @geindex Nak (pyGHDL.libghdl.std_names.Name attribute)
29169 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Nak}@anchor{c7c}
29170 @deffn {Attribute} Nak  =  695
29171 @end deffn
29173 @geindex Syn (pyGHDL.libghdl.std_names.Name attribute)
29174 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Syn}@anchor{c7d}
29175 @deffn {Attribute} Syn  =  696
29176 @end deffn
29178 @geindex Etb (pyGHDL.libghdl.std_names.Name attribute)
29179 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Etb}@anchor{c7e}
29180 @deffn {Attribute} Etb  =  697
29181 @end deffn
29183 @geindex Can (pyGHDL.libghdl.std_names.Name attribute)
29184 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Can}@anchor{c7f}
29185 @deffn {Attribute} Can  =  698
29186 @end deffn
29188 @geindex Em (pyGHDL.libghdl.std_names.Name attribute)
29189 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Em}@anchor{c80}
29190 @deffn {Attribute} Em  =  699
29191 @end deffn
29193 @geindex Sub (pyGHDL.libghdl.std_names.Name attribute)
29194 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Sub}@anchor{c81}
29195 @deffn {Attribute} Sub  =  700
29196 @end deffn
29198 @geindex Esc (pyGHDL.libghdl.std_names.Name attribute)
29199 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Esc}@anchor{c82}
29200 @deffn {Attribute} Esc  =  701
29201 @end deffn
29203 @geindex Fsp (pyGHDL.libghdl.std_names.Name attribute)
29204 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Fsp}@anchor{c83}
29205 @deffn {Attribute} Fsp  =  702
29206 @end deffn
29208 @geindex Gsp (pyGHDL.libghdl.std_names.Name attribute)
29209 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Gsp}@anchor{c84}
29210 @deffn {Attribute} Gsp  =  703
29211 @end deffn
29213 @geindex Rsp (pyGHDL.libghdl.std_names.Name attribute)
29214 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Rsp}@anchor{c85}
29215 @deffn {Attribute} Rsp  =  704
29216 @end deffn
29218 @geindex Usp (pyGHDL.libghdl.std_names.Name attribute)
29219 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Usp}@anchor{c86}
29220 @deffn {Attribute} Usp  =  705
29221 @end deffn
29223 @geindex Del (pyGHDL.libghdl.std_names.Name attribute)
29224 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Del}@anchor{c87}
29225 @deffn {Attribute} Del  =  706
29226 @end deffn
29228 @geindex C128 (pyGHDL.libghdl.std_names.Name attribute)
29229 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name C128}@anchor{c88}
29230 @deffn {Attribute} C128  =  707
29231 @end deffn
29233 @geindex C129 (pyGHDL.libghdl.std_names.Name attribute)
29234 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name C129}@anchor{c89}
29235 @deffn {Attribute} C129  =  708
29236 @end deffn
29238 @geindex C130 (pyGHDL.libghdl.std_names.Name attribute)
29239 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name C130}@anchor{c8a}
29240 @deffn {Attribute} C130  =  709
29241 @end deffn
29243 @geindex C131 (pyGHDL.libghdl.std_names.Name attribute)
29244 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name C131}@anchor{c8b}
29245 @deffn {Attribute} C131  =  710
29246 @end deffn
29248 @geindex C132 (pyGHDL.libghdl.std_names.Name attribute)
29249 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name C132}@anchor{c8c}
29250 @deffn {Attribute} C132  =  711
29251 @end deffn
29253 @geindex C133 (pyGHDL.libghdl.std_names.Name attribute)
29254 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name C133}@anchor{c8d}
29255 @deffn {Attribute} C133  =  712
29256 @end deffn
29258 @geindex C134 (pyGHDL.libghdl.std_names.Name attribute)
29259 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name C134}@anchor{c8e}
29260 @deffn {Attribute} C134  =  713
29261 @end deffn
29263 @geindex C135 (pyGHDL.libghdl.std_names.Name attribute)
29264 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name C135}@anchor{c8f}
29265 @deffn {Attribute} C135  =  714
29266 @end deffn
29268 @geindex C136 (pyGHDL.libghdl.std_names.Name attribute)
29269 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name C136}@anchor{c90}
29270 @deffn {Attribute} C136  =  715
29271 @end deffn
29273 @geindex C137 (pyGHDL.libghdl.std_names.Name attribute)
29274 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name C137}@anchor{c91}
29275 @deffn {Attribute} C137  =  716
29276 @end deffn
29278 @geindex C138 (pyGHDL.libghdl.std_names.Name attribute)
29279 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name C138}@anchor{c92}
29280 @deffn {Attribute} C138  =  717
29281 @end deffn
29283 @geindex C139 (pyGHDL.libghdl.std_names.Name attribute)
29284 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name C139}@anchor{c93}
29285 @deffn {Attribute} C139  =  718
29286 @end deffn
29288 @geindex C140 (pyGHDL.libghdl.std_names.Name attribute)
29289 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name C140}@anchor{c94}
29290 @deffn {Attribute} C140  =  719
29291 @end deffn
29293 @geindex C141 (pyGHDL.libghdl.std_names.Name attribute)
29294 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name C141}@anchor{c95}
29295 @deffn {Attribute} C141  =  720
29296 @end deffn
29298 @geindex C142 (pyGHDL.libghdl.std_names.Name attribute)
29299 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name C142}@anchor{c96}
29300 @deffn {Attribute} C142  =  721
29301 @end deffn
29303 @geindex C143 (pyGHDL.libghdl.std_names.Name attribute)
29304 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name C143}@anchor{c97}
29305 @deffn {Attribute} C143  =  722
29306 @end deffn
29308 @geindex C144 (pyGHDL.libghdl.std_names.Name attribute)
29309 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name C144}@anchor{c98}
29310 @deffn {Attribute} C144  =  723
29311 @end deffn
29313 @geindex C145 (pyGHDL.libghdl.std_names.Name attribute)
29314 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name C145}@anchor{c99}
29315 @deffn {Attribute} C145  =  724
29316 @end deffn
29318 @geindex C146 (pyGHDL.libghdl.std_names.Name attribute)
29319 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name C146}@anchor{c9a}
29320 @deffn {Attribute} C146  =  725
29321 @end deffn
29323 @geindex C147 (pyGHDL.libghdl.std_names.Name attribute)
29324 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name C147}@anchor{c9b}
29325 @deffn {Attribute} C147  =  726
29326 @end deffn
29328 @geindex C148 (pyGHDL.libghdl.std_names.Name attribute)
29329 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name C148}@anchor{c9c}
29330 @deffn {Attribute} C148  =  727
29331 @end deffn
29333 @geindex C149 (pyGHDL.libghdl.std_names.Name attribute)
29334 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name C149}@anchor{c9d}
29335 @deffn {Attribute} C149  =  728
29336 @end deffn
29338 @geindex C150 (pyGHDL.libghdl.std_names.Name attribute)
29339 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name C150}@anchor{c9e}
29340 @deffn {Attribute} C150  =  729
29341 @end deffn
29343 @geindex C151 (pyGHDL.libghdl.std_names.Name attribute)
29344 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name C151}@anchor{c9f}
29345 @deffn {Attribute} C151  =  730
29346 @end deffn
29348 @geindex C152 (pyGHDL.libghdl.std_names.Name attribute)
29349 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name C152}@anchor{ca0}
29350 @deffn {Attribute} C152  =  731
29351 @end deffn
29353 @geindex C153 (pyGHDL.libghdl.std_names.Name attribute)
29354 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name C153}@anchor{ca1}
29355 @deffn {Attribute} C153  =  732
29356 @end deffn
29358 @geindex C154 (pyGHDL.libghdl.std_names.Name attribute)
29359 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name C154}@anchor{ca2}
29360 @deffn {Attribute} C154  =  733
29361 @end deffn
29363 @geindex C155 (pyGHDL.libghdl.std_names.Name attribute)
29364 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name C155}@anchor{ca3}
29365 @deffn {Attribute} C155  =  734
29366 @end deffn
29368 @geindex C156 (pyGHDL.libghdl.std_names.Name attribute)
29369 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name C156}@anchor{ca4}
29370 @deffn {Attribute} C156  =  735
29371 @end deffn
29373 @geindex C157 (pyGHDL.libghdl.std_names.Name attribute)
29374 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name C157}@anchor{ca5}
29375 @deffn {Attribute} C157  =  736
29376 @end deffn
29378 @geindex C158 (pyGHDL.libghdl.std_names.Name attribute)
29379 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name C158}@anchor{ca6}
29380 @deffn {Attribute} C158  =  737
29381 @end deffn
29383 @geindex C159 (pyGHDL.libghdl.std_names.Name attribute)
29384 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name C159}@anchor{ca7}
29385 @deffn {Attribute} C159  =  738
29386 @end deffn
29388 @geindex Last_Charname (pyGHDL.libghdl.std_names.Name attribute)
29389 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Last_Charname}@anchor{ca8}
29390 @deffn {Attribute} Last_Charname  =  738
29391 @end deffn
29393 @geindex First_Misc (pyGHDL.libghdl.std_names.Name attribute)
29394 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name First_Misc}@anchor{ca9}
29395 @deffn {Attribute} First_Misc  =  739
29396 @end deffn
29398 @geindex Guard (pyGHDL.libghdl.std_names.Name attribute)
29399 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Guard}@anchor{caa}
29400 @deffn {Attribute} Guard  =  739
29401 @end deffn
29403 @geindex Deallocate (pyGHDL.libghdl.std_names.Name attribute)
29404 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Deallocate}@anchor{cab}
29405 @deffn {Attribute} Deallocate  =  740
29406 @end deffn
29408 @geindex File_Open (pyGHDL.libghdl.std_names.Name attribute)
29409 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name File_Open}@anchor{cac}
29410 @deffn {Attribute} File_Open  =  741
29411 @end deffn
29413 @geindex File_Close (pyGHDL.libghdl.std_names.Name attribute)
29414 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name File_Close}@anchor{cad}
29415 @deffn {Attribute} File_Close  =  742
29416 @end deffn
29418 @geindex Read (pyGHDL.libghdl.std_names.Name attribute)
29419 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Read}@anchor{cae}
29420 @deffn {Attribute} Read  =  743
29421 @end deffn
29423 @geindex Write (pyGHDL.libghdl.std_names.Name attribute)
29424 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Write}@anchor{caf}
29425 @deffn {Attribute} Write  =  744
29426 @end deffn
29428 @geindex Flush (pyGHDL.libghdl.std_names.Name attribute)
29429 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Flush}@anchor{cb0}
29430 @deffn {Attribute} Flush  =  745
29431 @end deffn
29433 @geindex Endfile (pyGHDL.libghdl.std_names.Name attribute)
29434 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Endfile}@anchor{cb1}
29435 @deffn {Attribute} Endfile  =  746
29436 @end deffn
29438 @geindex I (pyGHDL.libghdl.std_names.Name attribute)
29439 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name I}@anchor{cb2}
29440 @deffn {Attribute} I  =  747
29441 @end deffn
29443 @geindex J (pyGHDL.libghdl.std_names.Name attribute)
29444 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name J}@anchor{cb3}
29445 @deffn {Attribute} J  =  748
29446 @end deffn
29448 @geindex F (pyGHDL.libghdl.std_names.Name attribute)
29449 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name F}@anchor{cb4}
29450 @deffn {Attribute} F  =  749
29451 @end deffn
29453 @geindex L (pyGHDL.libghdl.std_names.Name attribute)
29454 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name L}@anchor{cb5}
29455 @deffn {Attribute} L  =  750
29456 @end deffn
29458 @geindex P (pyGHDL.libghdl.std_names.Name attribute)
29459 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name P}@anchor{cb6}
29460 @deffn {Attribute} P  =  751
29461 @end deffn
29463 @geindex R (pyGHDL.libghdl.std_names.Name attribute)
29464 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name R}@anchor{cb7}
29465 @deffn {Attribute} R  =  752
29466 @end deffn
29468 @geindex S (pyGHDL.libghdl.std_names.Name attribute)
29469 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name S}@anchor{cb8}
29470 @deffn {Attribute} S  =  753
29471 @end deffn
29473 @geindex V (pyGHDL.libghdl.std_names.Name attribute)
29474 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name V}@anchor{cb9}
29475 @deffn {Attribute} V  =  754
29476 @end deffn
29478 @geindex External_Name (pyGHDL.libghdl.std_names.Name attribute)
29479 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name External_Name}@anchor{cba}
29480 @deffn {Attribute} External_Name  =  755
29481 @end deffn
29483 @geindex Open_Kind (pyGHDL.libghdl.std_names.Name attribute)
29484 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Open_Kind}@anchor{cbb}
29485 @deffn {Attribute} Open_Kind  =  756
29486 @end deffn
29488 @geindex First (pyGHDL.libghdl.std_names.Name attribute)
29489 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name First}@anchor{cbc}
29490 @deffn {Attribute} First  =  757
29491 @end deffn
29493 @geindex Last (pyGHDL.libghdl.std_names.Name attribute)
29494 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Last}@anchor{cbd}
29495 @deffn {Attribute} Last  =  758
29496 @end deffn
29498 @geindex Textio (pyGHDL.libghdl.std_names.Name attribute)
29499 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Textio}@anchor{cbe}
29500 @deffn {Attribute} Textio  =  759
29501 @end deffn
29503 @geindex Work (pyGHDL.libghdl.std_names.Name attribute)
29504 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Work}@anchor{cbf}
29505 @deffn {Attribute} Work  =  760
29506 @end deffn
29508 @geindex Text (pyGHDL.libghdl.std_names.Name attribute)
29509 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Text}@anchor{cc0}
29510 @deffn {Attribute} Text  =  761
29511 @end deffn
29513 @geindex To_String (pyGHDL.libghdl.std_names.Name attribute)
29514 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name To_String}@anchor{cc1}
29515 @deffn {Attribute} To_String  =  762
29516 @end deffn
29518 @geindex Minimum (pyGHDL.libghdl.std_names.Name attribute)
29519 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Minimum}@anchor{cc2}
29520 @deffn {Attribute} Minimum  =  763
29521 @end deffn
29523 @geindex Maximum (pyGHDL.libghdl.std_names.Name attribute)
29524 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Maximum}@anchor{cc3}
29525 @deffn {Attribute} Maximum  =  764
29526 @end deffn
29528 @geindex Untruncated_Text_Read (pyGHDL.libghdl.std_names.Name attribute)
29529 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Untruncated_Text_Read}@anchor{cc4}
29530 @deffn {Attribute} Untruncated_Text_Read  =  765
29531 @end deffn
29533 @geindex Textio_Read_Real (pyGHDL.libghdl.std_names.Name attribute)
29534 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Textio_Read_Real}@anchor{cc5}
29535 @deffn {Attribute} Textio_Read_Real  =  766
29536 @end deffn
29538 @geindex Textio_Write_Real (pyGHDL.libghdl.std_names.Name attribute)
29539 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Textio_Write_Real}@anchor{cc6}
29540 @deffn {Attribute} Textio_Write_Real  =  767
29541 @end deffn
29543 @geindex Get_Resolution_Limit (pyGHDL.libghdl.std_names.Name attribute)
29544 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Get_Resolution_Limit}@anchor{cc7}
29545 @deffn {Attribute} Get_Resolution_Limit  =  768
29546 @end deffn
29548 @geindex Control_Simulation (pyGHDL.libghdl.std_names.Name attribute)
29549 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Control_Simulation}@anchor{cc8}
29550 @deffn {Attribute} Control_Simulation  =  769
29551 @end deffn
29553 @geindex Step (pyGHDL.libghdl.std_names.Name attribute)
29554 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Step}@anchor{cc9}
29555 @deffn {Attribute} Step  =  770
29556 @end deffn
29558 @geindex Index (pyGHDL.libghdl.std_names.Name attribute)
29559 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Index}@anchor{cca}
29560 @deffn {Attribute} Index  =  771
29561 @end deffn
29563 @geindex Item (pyGHDL.libghdl.std_names.Name attribute)
29564 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Item}@anchor{ccb}
29565 @deffn {Attribute} Item  =  772
29566 @end deffn
29568 @geindex Uu_File_Uu (pyGHDL.libghdl.std_names.Name attribute)
29569 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Uu_File_Uu}@anchor{ccc}
29570 @deffn {Attribute} Uu_File_Uu  =  773
29571 @end deffn
29573 @geindex Uu_Line_Uu (pyGHDL.libghdl.std_names.Name attribute)
29574 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Uu_Line_Uu}@anchor{ccd}
29575 @deffn {Attribute} Uu_Line_Uu  =  774
29576 @end deffn
29578 @geindex Label_Applies_To (pyGHDL.libghdl.std_names.Name attribute)
29579 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Label_Applies_To}@anchor{cce}
29580 @deffn {Attribute} Label_Applies_To  =  775
29581 @end deffn
29583 @geindex Return_Port_Name (pyGHDL.libghdl.std_names.Name attribute)
29584 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Return_Port_Name}@anchor{ccf}
29585 @deffn {Attribute} Return_Port_Name  =  776
29586 @end deffn
29588 @geindex Map_To_Operator (pyGHDL.libghdl.std_names.Name attribute)
29589 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Map_To_Operator}@anchor{cd0}
29590 @deffn {Attribute} Map_To_Operator  =  777
29591 @end deffn
29593 @geindex Type_Function (pyGHDL.libghdl.std_names.Name attribute)
29594 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Type_Function}@anchor{cd1}
29595 @deffn {Attribute} Type_Function  =  778
29596 @end deffn
29598 @geindex Built_In (pyGHDL.libghdl.std_names.Name attribute)
29599 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Built_In}@anchor{cd2}
29600 @deffn {Attribute} Built_In  =  779
29601 @end deffn
29603 @geindex NNone (pyGHDL.libghdl.std_names.Name attribute)
29604 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name NNone}@anchor{cd3}
29605 @deffn {Attribute} NNone  =  780
29606 @end deffn
29608 @geindex Last_Misc (pyGHDL.libghdl.std_names.Name attribute)
29609 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Last_Misc}@anchor{cd4}
29610 @deffn {Attribute} Last_Misc  =  780
29611 @end deffn
29613 @geindex First_Ieee_Pkg (pyGHDL.libghdl.std_names.Name attribute)
29614 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name First_Ieee_Pkg}@anchor{cd5}
29615 @deffn {Attribute} First_Ieee_Pkg  =  781
29616 @end deffn
29618 @geindex Ieee (pyGHDL.libghdl.std_names.Name attribute)
29619 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Ieee}@anchor{cd6}
29620 @deffn {Attribute} Ieee  =  781
29621 @end deffn
29623 @geindex Std_Logic_1164 (pyGHDL.libghdl.std_names.Name attribute)
29624 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Std_Logic_1164}@anchor{cd7}
29625 @deffn {Attribute} Std_Logic_1164  =  782
29626 @end deffn
29628 @geindex VITAL_Timing (pyGHDL.libghdl.std_names.Name attribute)
29629 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name VITAL_Timing}@anchor{cd8}
29630 @deffn {Attribute} VITAL_Timing  =  783
29631 @end deffn
29633 @geindex Numeric_Std (pyGHDL.libghdl.std_names.Name attribute)
29634 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Numeric_Std}@anchor{cd9}
29635 @deffn {Attribute} Numeric_Std  =  784
29636 @end deffn
29638 @geindex Numeric_Bit (pyGHDL.libghdl.std_names.Name attribute)
29639 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Numeric_Bit}@anchor{cda}
29640 @deffn {Attribute} Numeric_Bit  =  785
29641 @end deffn
29643 @geindex Numeric_Std_Unsigned (pyGHDL.libghdl.std_names.Name attribute)
29644 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Numeric_Std_Unsigned}@anchor{cdb}
29645 @deffn {Attribute} Numeric_Std_Unsigned  =  786
29646 @end deffn
29648 @geindex Std_Logic_Arith (pyGHDL.libghdl.std_names.Name attribute)
29649 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Std_Logic_Arith}@anchor{cdc}
29650 @deffn {Attribute} Std_Logic_Arith  =  787
29651 @end deffn
29653 @geindex Std_Logic_Signed (pyGHDL.libghdl.std_names.Name attribute)
29654 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Std_Logic_Signed}@anchor{cdd}
29655 @deffn {Attribute} Std_Logic_Signed  =  788
29656 @end deffn
29658 @geindex Std_Logic_Unsigned (pyGHDL.libghdl.std_names.Name attribute)
29659 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Std_Logic_Unsigned}@anchor{cde}
29660 @deffn {Attribute} Std_Logic_Unsigned  =  789
29661 @end deffn
29663 @geindex Std_Logic_Textio (pyGHDL.libghdl.std_names.Name attribute)
29664 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Std_Logic_Textio}@anchor{cdf}
29665 @deffn {Attribute} Std_Logic_Textio  =  790
29666 @end deffn
29668 @geindex Std_Logic_Misc (pyGHDL.libghdl.std_names.Name attribute)
29669 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Std_Logic_Misc}@anchor{ce0}
29670 @deffn {Attribute} Std_Logic_Misc  =  791
29671 @end deffn
29673 @geindex Math_Real (pyGHDL.libghdl.std_names.Name attribute)
29674 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Math_Real}@anchor{ce1}
29675 @deffn {Attribute} Math_Real  =  792
29676 @end deffn
29678 @geindex Last_Ieee_Pkg (pyGHDL.libghdl.std_names.Name attribute)
29679 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Last_Ieee_Pkg}@anchor{ce2}
29680 @deffn {Attribute} Last_Ieee_Pkg  =  792
29681 @end deffn
29683 @geindex First_Ieee_Name (pyGHDL.libghdl.std_names.Name attribute)
29684 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name First_Ieee_Name}@anchor{ce3}
29685 @deffn {Attribute} First_Ieee_Name  =  793
29686 @end deffn
29688 @geindex Std_Ulogic (pyGHDL.libghdl.std_names.Name attribute)
29689 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Std_Ulogic}@anchor{ce4}
29690 @deffn {Attribute} Std_Ulogic  =  793
29691 @end deffn
29693 @geindex Std_Ulogic_Vector (pyGHDL.libghdl.std_names.Name attribute)
29694 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Std_Ulogic_Vector}@anchor{ce5}
29695 @deffn {Attribute} Std_Ulogic_Vector  =  794
29696 @end deffn
29698 @geindex Std_Logic (pyGHDL.libghdl.std_names.Name attribute)
29699 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Std_Logic}@anchor{ce6}
29700 @deffn {Attribute} Std_Logic  =  795
29701 @end deffn
29703 @geindex Std_Logic_Vector (pyGHDL.libghdl.std_names.Name attribute)
29704 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Std_Logic_Vector}@anchor{ce7}
29705 @deffn {Attribute} Std_Logic_Vector  =  796
29706 @end deffn
29708 @geindex Rising_Edge (pyGHDL.libghdl.std_names.Name attribute)
29709 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Rising_Edge}@anchor{ce8}
29710 @deffn {Attribute} Rising_Edge  =  797
29711 @end deffn
29713 @geindex Falling_Edge (pyGHDL.libghdl.std_names.Name attribute)
29714 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Falling_Edge}@anchor{ce9}
29715 @deffn {Attribute} Falling_Edge  =  798
29716 @end deffn
29718 @geindex VITAL_Level0 (pyGHDL.libghdl.std_names.Name attribute)
29719 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name VITAL_Level0}@anchor{cea}
29720 @deffn {Attribute} VITAL_Level0  =  799
29721 @end deffn
29723 @geindex VITAL_Level1 (pyGHDL.libghdl.std_names.Name attribute)
29724 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name VITAL_Level1}@anchor{ceb}
29725 @deffn {Attribute} VITAL_Level1  =  800
29726 @end deffn
29728 @geindex Unresolved_Unsigned (pyGHDL.libghdl.std_names.Name attribute)
29729 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Unresolved_Unsigned}@anchor{cec}
29730 @deffn {Attribute} Unresolved_Unsigned  =  801
29731 @end deffn
29733 @geindex Unresolved_Signed (pyGHDL.libghdl.std_names.Name attribute)
29734 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Unresolved_Signed}@anchor{ced}
29735 @deffn {Attribute} Unresolved_Signed  =  802
29736 @end deffn
29738 @geindex To_Integer (pyGHDL.libghdl.std_names.Name attribute)
29739 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name To_Integer}@anchor{cee}
29740 @deffn {Attribute} To_Integer  =  803
29741 @end deffn
29743 @geindex To_Unsigned (pyGHDL.libghdl.std_names.Name attribute)
29744 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name To_Unsigned}@anchor{cef}
29745 @deffn {Attribute} To_Unsigned  =  804
29746 @end deffn
29748 @geindex To_Signed (pyGHDL.libghdl.std_names.Name attribute)
29749 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name To_Signed}@anchor{cf0}
29750 @deffn {Attribute} To_Signed  =  805
29751 @end deffn
29753 @geindex Resize (pyGHDL.libghdl.std_names.Name attribute)
29754 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Resize}@anchor{cf1}
29755 @deffn {Attribute} Resize  =  806
29756 @end deffn
29758 @geindex Std_Match (pyGHDL.libghdl.std_names.Name attribute)
29759 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Std_Match}@anchor{cf2}
29760 @deffn {Attribute} Std_Match  =  807
29761 @end deffn
29763 @geindex Shift_Left (pyGHDL.libghdl.std_names.Name attribute)
29764 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Shift_Left}@anchor{cf3}
29765 @deffn {Attribute} Shift_Left  =  808
29766 @end deffn
29768 @geindex Shift_Right (pyGHDL.libghdl.std_names.Name attribute)
29769 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Shift_Right}@anchor{cf4}
29770 @deffn {Attribute} Shift_Right  =  809
29771 @end deffn
29773 @geindex Rotate_Left (pyGHDL.libghdl.std_names.Name attribute)
29774 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Rotate_Left}@anchor{cf5}
29775 @deffn {Attribute} Rotate_Left  =  810
29776 @end deffn
29778 @geindex Rotate_Right (pyGHDL.libghdl.std_names.Name attribute)
29779 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Rotate_Right}@anchor{cf6}
29780 @deffn {Attribute} Rotate_Right  =  811
29781 @end deffn
29783 @geindex To_Bit (pyGHDL.libghdl.std_names.Name attribute)
29784 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name To_Bit}@anchor{cf7}
29785 @deffn {Attribute} To_Bit  =  812
29786 @end deffn
29788 @geindex To_Bitvector (pyGHDL.libghdl.std_names.Name attribute)
29789 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name To_Bitvector}@anchor{cf8}
29790 @deffn {Attribute} To_Bitvector  =  813
29791 @end deffn
29793 @geindex To_Stdulogic (pyGHDL.libghdl.std_names.Name attribute)
29794 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name To_Stdulogic}@anchor{cf9}
29795 @deffn {Attribute} To_Stdulogic  =  814
29796 @end deffn
29798 @geindex To_Stdlogicvector (pyGHDL.libghdl.std_names.Name attribute)
29799 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name To_Stdlogicvector}@anchor{cfa}
29800 @deffn {Attribute} To_Stdlogicvector  =  815
29801 @end deffn
29803 @geindex To_Stdulogicvector (pyGHDL.libghdl.std_names.Name attribute)
29804 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name To_Stdulogicvector}@anchor{cfb}
29805 @deffn {Attribute} To_Stdulogicvector  =  816
29806 @end deffn
29808 @geindex Is_X (pyGHDL.libghdl.std_names.Name attribute)
29809 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Is_X}@anchor{cfc}
29810 @deffn {Attribute} Is_X  =  817
29811 @end deffn
29813 @geindex To_01 (pyGHDL.libghdl.std_names.Name attribute)
29814 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name To_01}@anchor{cfd}
29815 @deffn {Attribute} To_01  =  818
29816 @end deffn
29818 @geindex To_X01 (pyGHDL.libghdl.std_names.Name attribute)
29819 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name To_X01}@anchor{cfe}
29820 @deffn {Attribute} To_X01  =  819
29821 @end deffn
29823 @geindex To_X01Z (pyGHDL.libghdl.std_names.Name attribute)
29824 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name To_X01Z}@anchor{cff}
29825 @deffn {Attribute} To_X01Z  =  820
29826 @end deffn
29828 @geindex To_UX01 (pyGHDL.libghdl.std_names.Name attribute)
29829 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name To_UX01}@anchor{d00}
29830 @deffn {Attribute} To_UX01  =  821
29831 @end deffn
29833 @geindex Conv_Signed (pyGHDL.libghdl.std_names.Name attribute)
29834 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Conv_Signed}@anchor{d01}
29835 @deffn {Attribute} Conv_Signed  =  822
29836 @end deffn
29838 @geindex Conv_Unsigned (pyGHDL.libghdl.std_names.Name attribute)
29839 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Conv_Unsigned}@anchor{d02}
29840 @deffn {Attribute} Conv_Unsigned  =  823
29841 @end deffn
29843 @geindex Conv_Integer (pyGHDL.libghdl.std_names.Name attribute)
29844 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Conv_Integer}@anchor{d03}
29845 @deffn {Attribute} Conv_Integer  =  824
29846 @end deffn
29848 @geindex Conv_Std_Logic_Vector (pyGHDL.libghdl.std_names.Name attribute)
29849 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Conv_Std_Logic_Vector}@anchor{d04}
29850 @deffn {Attribute} Conv_Std_Logic_Vector  =  825
29851 @end deffn
29853 @geindex And_Reduce (pyGHDL.libghdl.std_names.Name attribute)
29854 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name And_Reduce}@anchor{d05}
29855 @deffn {Attribute} And_Reduce  =  826
29856 @end deffn
29858 @geindex Nand_Reduce (pyGHDL.libghdl.std_names.Name attribute)
29859 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Nand_Reduce}@anchor{d06}
29860 @deffn {Attribute} Nand_Reduce  =  827
29861 @end deffn
29863 @geindex Or_Reduce (pyGHDL.libghdl.std_names.Name attribute)
29864 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Or_Reduce}@anchor{d07}
29865 @deffn {Attribute} Or_Reduce  =  828
29866 @end deffn
29868 @geindex Nor_Reduce (pyGHDL.libghdl.std_names.Name attribute)
29869 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Nor_Reduce}@anchor{d08}
29870 @deffn {Attribute} Nor_Reduce  =  829
29871 @end deffn
29873 @geindex Xor_Reduce (pyGHDL.libghdl.std_names.Name attribute)
29874 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Xor_Reduce}@anchor{d09}
29875 @deffn {Attribute} Xor_Reduce  =  830
29876 @end deffn
29878 @geindex Xnor_Reduce (pyGHDL.libghdl.std_names.Name attribute)
29879 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Xnor_Reduce}@anchor{d0a}
29880 @deffn {Attribute} Xnor_Reduce  =  831
29881 @end deffn
29883 @geindex Ceil (pyGHDL.libghdl.std_names.Name attribute)
29884 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Ceil}@anchor{d0b}
29885 @deffn {Attribute} Ceil  =  832
29886 @end deffn
29888 @geindex Floor (pyGHDL.libghdl.std_names.Name attribute)
29889 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Floor}@anchor{d0c}
29890 @deffn {Attribute} Floor  =  833
29891 @end deffn
29893 @geindex Round (pyGHDL.libghdl.std_names.Name attribute)
29894 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Round}@anchor{d0d}
29895 @deffn {Attribute} Round  =  834
29896 @end deffn
29898 @geindex Log2 (pyGHDL.libghdl.std_names.Name attribute)
29899 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Log2}@anchor{d0e}
29900 @deffn {Attribute} Log2  =  835
29901 @end deffn
29903 @geindex Sin (pyGHDL.libghdl.std_names.Name attribute)
29904 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Sin}@anchor{d0f}
29905 @deffn {Attribute} Sin  =  836
29906 @end deffn
29908 @geindex Cos (pyGHDL.libghdl.std_names.Name attribute)
29909 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Cos}@anchor{d10}
29910 @deffn {Attribute} Cos  =  837
29911 @end deffn
29913 @geindex Arctan (pyGHDL.libghdl.std_names.Name attribute)
29914 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Arctan}@anchor{d11}
29915 @deffn {Attribute} Arctan  =  838
29916 @end deffn
29918 @geindex Shl (pyGHDL.libghdl.std_names.Name attribute)
29919 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Shl}@anchor{d12}
29920 @deffn {Attribute} Shl  =  839
29921 @end deffn
29923 @geindex Shr (pyGHDL.libghdl.std_names.Name attribute)
29924 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Shr}@anchor{d13}
29925 @deffn {Attribute} Shr  =  840
29926 @end deffn
29928 @geindex Ext (pyGHDL.libghdl.std_names.Name attribute)
29929 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Ext}@anchor{d14}
29930 @deffn {Attribute} Ext  =  841
29931 @end deffn
29933 @geindex Sxt (pyGHDL.libghdl.std_names.Name attribute)
29934 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Sxt}@anchor{d15}
29935 @deffn {Attribute} Sxt  =  842
29936 @end deffn
29938 @geindex Find_Leftmost (pyGHDL.libghdl.std_names.Name attribute)
29939 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Find_Leftmost}@anchor{d16}
29940 @deffn {Attribute} Find_Leftmost  =  843
29941 @end deffn
29943 @geindex Find_Rightmost (pyGHDL.libghdl.std_names.Name attribute)
29944 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Find_Rightmost}@anchor{d17}
29945 @deffn {Attribute} Find_Rightmost  =  844
29946 @end deffn
29948 @geindex Last_Ieee_Name (pyGHDL.libghdl.std_names.Name attribute)
29949 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Last_Ieee_Name}@anchor{d18}
29950 @deffn {Attribute} Last_Ieee_Name  =  844
29951 @end deffn
29953 @geindex First_Synthesis (pyGHDL.libghdl.std_names.Name attribute)
29954 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name First_Synthesis}@anchor{d19}
29955 @deffn {Attribute} First_Synthesis  =  845
29956 @end deffn
29958 @geindex Allconst (pyGHDL.libghdl.std_names.Name attribute)
29959 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Allconst}@anchor{d1a}
29960 @deffn {Attribute} Allconst  =  845
29961 @end deffn
29963 @geindex Allseq (pyGHDL.libghdl.std_names.Name attribute)
29964 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Allseq}@anchor{d1b}
29965 @deffn {Attribute} Allseq  =  846
29966 @end deffn
29968 @geindex Anyconst (pyGHDL.libghdl.std_names.Name attribute)
29969 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Anyconst}@anchor{d1c}
29970 @deffn {Attribute} Anyconst  =  847
29971 @end deffn
29973 @geindex Anyseq (pyGHDL.libghdl.std_names.Name attribute)
29974 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Anyseq}@anchor{d1d}
29975 @deffn {Attribute} Anyseq  =  848
29976 @end deffn
29978 @geindex Gclk (pyGHDL.libghdl.std_names.Name attribute)
29979 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Gclk}@anchor{d1e}
29980 @deffn {Attribute} Gclk  =  849
29981 @end deffn
29983 @geindex Loc (pyGHDL.libghdl.std_names.Name attribute)
29984 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Loc}@anchor{d1f}
29985 @deffn {Attribute} Loc  =  850
29986 @end deffn
29988 @geindex Keep (pyGHDL.libghdl.std_names.Name attribute)
29989 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Keep}@anchor{d20}
29990 @deffn {Attribute} Keep  =  851
29991 @end deffn
29993 @geindex Syn_Black_Box (pyGHDL.libghdl.std_names.Name attribute)
29994 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Syn_Black_Box}@anchor{d21}
29995 @deffn {Attribute} Syn_Black_Box  =  852
29996 @end deffn
29998 @geindex Last_Synthesis (pyGHDL.libghdl.std_names.Name attribute)
29999 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Last_Synthesis}@anchor{d22}
30000 @deffn {Attribute} Last_Synthesis  =  852
30001 @end deffn
30003 @geindex First_Directive (pyGHDL.libghdl.std_names.Name attribute)
30004 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name First_Directive}@anchor{d23}
30005 @deffn {Attribute} First_Directive  =  853
30006 @end deffn
30008 @geindex Define (pyGHDL.libghdl.std_names.Name attribute)
30009 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Define}@anchor{d24}
30010 @deffn {Attribute} Define  =  853
30011 @end deffn
30013 @geindex Endif (pyGHDL.libghdl.std_names.Name attribute)
30014 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Endif}@anchor{d25}
30015 @deffn {Attribute} Endif  =  854
30016 @end deffn
30018 @geindex Ifdef (pyGHDL.libghdl.std_names.Name attribute)
30019 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Ifdef}@anchor{d26}
30020 @deffn {Attribute} Ifdef  =  855
30021 @end deffn
30023 @geindex Ifndef (pyGHDL.libghdl.std_names.Name attribute)
30024 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Ifndef}@anchor{d27}
30025 @deffn {Attribute} Ifndef  =  856
30026 @end deffn
30028 @geindex Include (pyGHDL.libghdl.std_names.Name attribute)
30029 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Include}@anchor{d28}
30030 @deffn {Attribute} Include  =  857
30031 @end deffn
30033 @geindex Timescale (pyGHDL.libghdl.std_names.Name attribute)
30034 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Timescale}@anchor{d29}
30035 @deffn {Attribute} Timescale  =  858
30036 @end deffn
30038 @geindex Undef (pyGHDL.libghdl.std_names.Name attribute)
30039 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Undef}@anchor{d2a}
30040 @deffn {Attribute} Undef  =  859
30041 @end deffn
30043 @geindex Protect (pyGHDL.libghdl.std_names.Name attribute)
30044 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Protect}@anchor{d2b}
30045 @deffn {Attribute} Protect  =  860
30046 @end deffn
30048 @geindex Begin_Protected (pyGHDL.libghdl.std_names.Name attribute)
30049 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Begin_Protected}@anchor{d2c}
30050 @deffn {Attribute} Begin_Protected  =  861
30051 @end deffn
30053 @geindex End_Protected (pyGHDL.libghdl.std_names.Name attribute)
30054 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name End_Protected}@anchor{d2d}
30055 @deffn {Attribute} End_Protected  =  862
30056 @end deffn
30058 @geindex Key_Block (pyGHDL.libghdl.std_names.Name attribute)
30059 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Key_Block}@anchor{d2e}
30060 @deffn {Attribute} Key_Block  =  863
30061 @end deffn
30063 @geindex Data_Block (pyGHDL.libghdl.std_names.Name attribute)
30064 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Data_Block}@anchor{d2f}
30065 @deffn {Attribute} Data_Block  =  864
30066 @end deffn
30068 @geindex Line (pyGHDL.libghdl.std_names.Name attribute)
30069 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Line}@anchor{d30}
30070 @deffn {Attribute} Line  =  865
30071 @end deffn
30073 @geindex Celldefine (pyGHDL.libghdl.std_names.Name attribute)
30074 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Celldefine}@anchor{d31}
30075 @deffn {Attribute} Celldefine  =  866
30076 @end deffn
30078 @geindex Endcelldefine (pyGHDL.libghdl.std_names.Name attribute)
30079 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Endcelldefine}@anchor{d32}
30080 @deffn {Attribute} Endcelldefine  =  867
30081 @end deffn
30083 @geindex Default_Nettype (pyGHDL.libghdl.std_names.Name attribute)
30084 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Default_Nettype}@anchor{d33}
30085 @deffn {Attribute} Default_Nettype  =  868
30086 @end deffn
30088 @geindex Resetall (pyGHDL.libghdl.std_names.Name attribute)
30089 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Resetall}@anchor{d34}
30090 @deffn {Attribute} Resetall  =  869
30091 @end deffn
30093 @geindex Last_Directive (pyGHDL.libghdl.std_names.Name attribute)
30094 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Last_Directive}@anchor{d35}
30095 @deffn {Attribute} Last_Directive  =  869
30096 @end deffn
30098 @geindex First_Systask (pyGHDL.libghdl.std_names.Name attribute)
30099 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name First_Systask}@anchor{d36}
30100 @deffn {Attribute} First_Systask  =  870
30101 @end deffn
30103 @geindex Bits (pyGHDL.libghdl.std_names.Name attribute)
30104 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Bits}@anchor{d37}
30105 @deffn {Attribute} Bits  =  870
30106 @end deffn
30108 @geindex D_Root (pyGHDL.libghdl.std_names.Name attribute)
30109 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name D_Root}@anchor{d38}
30110 @deffn {Attribute} D_Root  =  871
30111 @end deffn
30113 @geindex D_Unit (pyGHDL.libghdl.std_names.Name attribute)
30114 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name D_Unit}@anchor{d39}
30115 @deffn {Attribute} D_Unit  =  872
30116 @end deffn
30118 @geindex Last_Systask (pyGHDL.libghdl.std_names.Name attribute)
30119 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Last_Systask}@anchor{d3a}
30120 @deffn {Attribute} Last_Systask  =  872
30121 @end deffn
30123 @geindex First_SV_Method (pyGHDL.libghdl.std_names.Name attribute)
30124 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name First_SV_Method}@anchor{d3b}
30125 @deffn {Attribute} First_SV_Method  =  873
30126 @end deffn
30128 @geindex Size (pyGHDL.libghdl.std_names.Name attribute)
30129 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Size}@anchor{d3c}
30130 @deffn {Attribute} Size  =  873
30131 @end deffn
30133 @geindex Insert (pyGHDL.libghdl.std_names.Name attribute)
30134 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Insert}@anchor{d3d}
30135 @deffn {Attribute} Insert  =  874
30136 @end deffn
30138 @geindex Delete (pyGHDL.libghdl.std_names.Name attribute)
30139 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Delete}@anchor{d3e}
30140 @deffn {Attribute} Delete  =  875
30141 @end deffn
30143 @geindex Pop_Front (pyGHDL.libghdl.std_names.Name attribute)
30144 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Pop_Front}@anchor{d3f}
30145 @deffn {Attribute} Pop_Front  =  876
30146 @end deffn
30148 @geindex Pop_Back (pyGHDL.libghdl.std_names.Name attribute)
30149 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Pop_Back}@anchor{d40}
30150 @deffn {Attribute} Pop_Back  =  877
30151 @end deffn
30153 @geindex Push_Front (pyGHDL.libghdl.std_names.Name attribute)
30154 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Push_Front}@anchor{d41}
30155 @deffn {Attribute} Push_Front  =  878
30156 @end deffn
30158 @geindex Push_Back (pyGHDL.libghdl.std_names.Name attribute)
30159 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Push_Back}@anchor{d42}
30160 @deffn {Attribute} Push_Back  =  879
30161 @end deffn
30163 @geindex Name (pyGHDL.libghdl.std_names.Name attribute)
30164 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Name}@anchor{d43}
30165 @deffn {Attribute} Name  =  880
30166 @end deffn
30168 @geindex Len (pyGHDL.libghdl.std_names.Name attribute)
30169 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Len}@anchor{d44}
30170 @deffn {Attribute} Len  =  881
30171 @end deffn
30173 @geindex Substr (pyGHDL.libghdl.std_names.Name attribute)
30174 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Substr}@anchor{d45}
30175 @deffn {Attribute} Substr  =  882
30176 @end deffn
30178 @geindex Exists (pyGHDL.libghdl.std_names.Name attribute)
30179 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Exists}@anchor{d46}
30180 @deffn {Attribute} Exists  =  883
30181 @end deffn
30183 @geindex Atoi (pyGHDL.libghdl.std_names.Name attribute)
30184 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Atoi}@anchor{d47}
30185 @deffn {Attribute} Atoi  =  884
30186 @end deffn
30188 @geindex Itoa (pyGHDL.libghdl.std_names.Name attribute)
30189 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Itoa}@anchor{d48}
30190 @deffn {Attribute} Itoa  =  885
30191 @end deffn
30193 @geindex Find (pyGHDL.libghdl.std_names.Name attribute)
30194 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Find}@anchor{d49}
30195 @deffn {Attribute} Find  =  886
30196 @end deffn
30198 @geindex Find_Index (pyGHDL.libghdl.std_names.Name attribute)
30199 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Find_Index}@anchor{d4a}
30200 @deffn {Attribute} Find_Index  =  887
30201 @end deffn
30203 @geindex Find_First (pyGHDL.libghdl.std_names.Name attribute)
30204 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Find_First}@anchor{d4b}
30205 @deffn {Attribute} Find_First  =  888
30206 @end deffn
30208 @geindex Find_First_Index (pyGHDL.libghdl.std_names.Name attribute)
30209 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Find_First_Index}@anchor{d4c}
30210 @deffn {Attribute} Find_First_Index  =  889
30211 @end deffn
30213 @geindex Find_Last (pyGHDL.libghdl.std_names.Name attribute)
30214 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Find_Last}@anchor{d4d}
30215 @deffn {Attribute} Find_Last  =  890
30216 @end deffn
30218 @geindex Find_Last_Index (pyGHDL.libghdl.std_names.Name attribute)
30219 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Find_Last_Index}@anchor{d4e}
30220 @deffn {Attribute} Find_Last_Index  =  891
30221 @end deffn
30223 @geindex Num (pyGHDL.libghdl.std_names.Name attribute)
30224 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Num}@anchor{d4f}
30225 @deffn {Attribute} Num  =  892
30226 @end deffn
30228 @geindex Randomize (pyGHDL.libghdl.std_names.Name attribute)
30229 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Randomize}@anchor{d50}
30230 @deffn {Attribute} Randomize  =  893
30231 @end deffn
30233 @geindex Pre_Randomize (pyGHDL.libghdl.std_names.Name attribute)
30234 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Pre_Randomize}@anchor{d51}
30235 @deffn {Attribute} Pre_Randomize  =  894
30236 @end deffn
30238 @geindex Post_Randomize (pyGHDL.libghdl.std_names.Name attribute)
30239 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Post_Randomize}@anchor{d52}
30240 @deffn {Attribute} Post_Randomize  =  895
30241 @end deffn
30243 @geindex Srandom (pyGHDL.libghdl.std_names.Name attribute)
30244 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Srandom}@anchor{d53}
30245 @deffn {Attribute} Srandom  =  896
30246 @end deffn
30248 @geindex Get_Randstate (pyGHDL.libghdl.std_names.Name attribute)
30249 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Get_Randstate}@anchor{d54}
30250 @deffn {Attribute} Get_Randstate  =  897
30251 @end deffn
30253 @geindex Set_Randstate (pyGHDL.libghdl.std_names.Name attribute)
30254 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Set_Randstate}@anchor{d55}
30255 @deffn {Attribute} Set_Randstate  =  898
30256 @end deffn
30258 @geindex Seed (pyGHDL.libghdl.std_names.Name attribute)
30259 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Seed}@anchor{d56}
30260 @deffn {Attribute} Seed  =  899
30261 @end deffn
30263 @geindex State (pyGHDL.libghdl.std_names.Name attribute)
30264 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name State}@anchor{d57}
30265 @deffn {Attribute} State  =  900
30266 @end deffn
30268 @geindex Last_SV_Method (pyGHDL.libghdl.std_names.Name attribute)
30269 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Last_SV_Method}@anchor{d58}
30270 @deffn {Attribute} Last_SV_Method  =  900
30271 @end deffn
30273 @geindex First_BSV (pyGHDL.libghdl.std_names.Name attribute)
30274 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name First_BSV}@anchor{d59}
30275 @deffn {Attribute} First_BSV  =  901
30276 @end deffn
30278 @geindex uAction (pyGHDL.libghdl.std_names.Name attribute)
30279 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name uAction}@anchor{d5a}
30280 @deffn {Attribute} uAction  =  901
30281 @end deffn
30283 @geindex uActionValue (pyGHDL.libghdl.std_names.Name attribute)
30284 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name uActionValue}@anchor{d5b}
30285 @deffn {Attribute} uActionValue  =  902
30286 @end deffn
30288 @geindex BVI (pyGHDL.libghdl.std_names.Name attribute)
30289 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name BVI}@anchor{d5c}
30290 @deffn {Attribute} BVI  =  903
30291 @end deffn
30293 @geindex uC (pyGHDL.libghdl.std_names.Name attribute)
30294 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name uC}@anchor{d5d}
30295 @deffn {Attribute} uC  =  904
30296 @end deffn
30298 @geindex uCF (pyGHDL.libghdl.std_names.Name attribute)
30299 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name uCF}@anchor{d5e}
30300 @deffn {Attribute} uCF  =  905
30301 @end deffn
30303 @geindex uE (pyGHDL.libghdl.std_names.Name attribute)
30304 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name uE}@anchor{d5f}
30305 @deffn {Attribute} uE  =  906
30306 @end deffn
30308 @geindex uSB (pyGHDL.libghdl.std_names.Name attribute)
30309 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name uSB}@anchor{d60}
30310 @deffn {Attribute} uSB  =  907
30311 @end deffn
30313 @geindex uSBR (pyGHDL.libghdl.std_names.Name attribute)
30314 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name uSBR}@anchor{d61}
30315 @deffn {Attribute} uSBR  =  908
30316 @end deffn
30318 @geindex Action (pyGHDL.libghdl.std_names.Name attribute)
30319 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Action}@anchor{d62}
30320 @deffn {Attribute} Action  =  909
30321 @end deffn
30323 @geindex Endaction (pyGHDL.libghdl.std_names.Name attribute)
30324 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Endaction}@anchor{d63}
30325 @deffn {Attribute} Endaction  =  910
30326 @end deffn
30328 @geindex Actionvalue (pyGHDL.libghdl.std_names.Name attribute)
30329 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Actionvalue}@anchor{d64}
30330 @deffn {Attribute} Actionvalue  =  911
30331 @end deffn
30333 @geindex Endactionvalue (pyGHDL.libghdl.std_names.Name attribute)
30334 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Endactionvalue}@anchor{d65}
30335 @deffn {Attribute} Endactionvalue  =  912
30336 @end deffn
30338 @geindex Ancestor (pyGHDL.libghdl.std_names.Name attribute)
30339 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Ancestor}@anchor{d66}
30340 @deffn {Attribute} Ancestor  =  913
30341 @end deffn
30343 @geindex Clocked_By (pyGHDL.libghdl.std_names.Name attribute)
30344 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Clocked_By}@anchor{d67}
30345 @deffn {Attribute} Clocked_By  =  914
30346 @end deffn
30348 @geindex Default_Clock (pyGHDL.libghdl.std_names.Name attribute)
30349 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Default_Clock}@anchor{d68}
30350 @deffn {Attribute} Default_Clock  =  915
30351 @end deffn
30353 @geindex Default_Reset (pyGHDL.libghdl.std_names.Name attribute)
30354 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Default_Reset}@anchor{d69}
30355 @deffn {Attribute} Default_Reset  =  916
30356 @end deffn
30358 @geindex Dependencies (pyGHDL.libghdl.std_names.Name attribute)
30359 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Dependencies}@anchor{d6a}
30360 @deffn {Attribute} Dependencies  =  917
30361 @end deffn
30363 @geindex Deriving (pyGHDL.libghdl.std_names.Name attribute)
30364 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Deriving}@anchor{d6b}
30365 @deffn {Attribute} Deriving  =  918
30366 @end deffn
30368 @geindex Determines (pyGHDL.libghdl.std_names.Name attribute)
30369 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Determines}@anchor{d6c}
30370 @deffn {Attribute} Determines  =  919
30371 @end deffn
30373 @geindex Enable (pyGHDL.libghdl.std_names.Name attribute)
30374 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Enable}@anchor{d6d}
30375 @deffn {Attribute} Enable  =  920
30376 @end deffn
30378 @geindex Ifc_Inout (pyGHDL.libghdl.std_names.Name attribute)
30379 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Ifc_Inout}@anchor{d6e}
30380 @deffn {Attribute} Ifc_Inout  =  921
30381 @end deffn
30383 @geindex Input_Clock (pyGHDL.libghdl.std_names.Name attribute)
30384 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Input_Clock}@anchor{d6f}
30385 @deffn {Attribute} Input_Clock  =  922
30386 @end deffn
30388 @geindex Input_Reset (pyGHDL.libghdl.std_names.Name attribute)
30389 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Input_Reset}@anchor{d70}
30390 @deffn {Attribute} Input_Reset  =  923
30391 @end deffn
30393 @geindex Instance (pyGHDL.libghdl.std_names.Name attribute)
30394 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Instance}@anchor{d71}
30395 @deffn {Attribute} Instance  =  924
30396 @end deffn
30398 @geindex Endinstance (pyGHDL.libghdl.std_names.Name attribute)
30399 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Endinstance}@anchor{d72}
30400 @deffn {Attribute} Endinstance  =  925
30401 @end deffn
30403 @geindex Let (pyGHDL.libghdl.std_names.Name attribute)
30404 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Let}@anchor{d73}
30405 @deffn {Attribute} Let  =  926
30406 @end deffn
30408 @geindex Match (pyGHDL.libghdl.std_names.Name attribute)
30409 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Match}@anchor{d74}
30410 @deffn {Attribute} Match  =  927
30411 @end deffn
30413 @geindex Method (pyGHDL.libghdl.std_names.Name attribute)
30414 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Method}@anchor{d75}
30415 @deffn {Attribute} Method  =  928
30416 @end deffn
30418 @geindex Endmethod (pyGHDL.libghdl.std_names.Name attribute)
30419 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Endmethod}@anchor{d76}
30420 @deffn {Attribute} Endmethod  =  929
30421 @end deffn
30423 @geindex Numeric (pyGHDL.libghdl.std_names.Name attribute)
30424 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Numeric}@anchor{d77}
30425 @deffn {Attribute} Numeric  =  930
30426 @end deffn
30428 @geindex Output_Clock (pyGHDL.libghdl.std_names.Name attribute)
30429 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Output_Clock}@anchor{d78}
30430 @deffn {Attribute} Output_Clock  =  931
30431 @end deffn
30433 @geindex Output_Reset (pyGHDL.libghdl.std_names.Name attribute)
30434 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Output_Reset}@anchor{d79}
30435 @deffn {Attribute} Output_Reset  =  932
30436 @end deffn
30438 @geindex Par (pyGHDL.libghdl.std_names.Name attribute)
30439 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Par}@anchor{d7a}
30440 @deffn {Attribute} Par  =  933
30441 @end deffn
30443 @geindex Endpar (pyGHDL.libghdl.std_names.Name attribute)
30444 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Endpar}@anchor{d7b}
30445 @deffn {Attribute} Endpar  =  934
30446 @end deffn
30448 @geindex Path (pyGHDL.libghdl.std_names.Name attribute)
30449 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Path}@anchor{d7c}
30450 @deffn {Attribute} Path  =  935
30451 @end deffn
30453 @geindex Provisos (pyGHDL.libghdl.std_names.Name attribute)
30454 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Provisos}@anchor{d7d}
30455 @deffn {Attribute} Provisos  =  936
30456 @end deffn
30458 @geindex Ready (pyGHDL.libghdl.std_names.Name attribute)
30459 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Ready}@anchor{d7e}
30460 @deffn {Attribute} Ready  =  937
30461 @end deffn
30463 @geindex Reset_By (pyGHDL.libghdl.std_names.Name attribute)
30464 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Reset_By}@anchor{d7f}
30465 @deffn {Attribute} Reset_By  =  938
30466 @end deffn
30468 @geindex Rule (pyGHDL.libghdl.std_names.Name attribute)
30469 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Rule}@anchor{d80}
30470 @deffn {Attribute} Rule  =  939
30471 @end deffn
30473 @geindex Endrule (pyGHDL.libghdl.std_names.Name attribute)
30474 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Endrule}@anchor{d81}
30475 @deffn {Attribute} Endrule  =  940
30476 @end deffn
30478 @geindex Rules (pyGHDL.libghdl.std_names.Name attribute)
30479 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Rules}@anchor{d82}
30480 @deffn {Attribute} Rules  =  941
30481 @end deffn
30483 @geindex Endrules (pyGHDL.libghdl.std_names.Name attribute)
30484 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Endrules}@anchor{d83}
30485 @deffn {Attribute} Endrules  =  942
30486 @end deffn
30488 @geindex Same_Family (pyGHDL.libghdl.std_names.Name attribute)
30489 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Same_Family}@anchor{d84}
30490 @deffn {Attribute} Same_Family  =  943
30491 @end deffn
30493 @geindex Schedule (pyGHDL.libghdl.std_names.Name attribute)
30494 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Schedule}@anchor{d85}
30495 @deffn {Attribute} Schedule  =  944
30496 @end deffn
30498 @geindex Seq (pyGHDL.libghdl.std_names.Name attribute)
30499 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Seq}@anchor{d86}
30500 @deffn {Attribute} Seq  =  945
30501 @end deffn
30503 @geindex Endseq (pyGHDL.libghdl.std_names.Name attribute)
30504 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Endseq}@anchor{d87}
30505 @deffn {Attribute} Endseq  =  946
30506 @end deffn
30508 @geindex Typeclass (pyGHDL.libghdl.std_names.Name attribute)
30509 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Typeclass}@anchor{d88}
30510 @deffn {Attribute} Typeclass  =  947
30511 @end deffn
30513 @geindex Endtypeclass (pyGHDL.libghdl.std_names.Name attribute)
30514 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Endtypeclass}@anchor{d89}
30515 @deffn {Attribute} Endtypeclass  =  948
30516 @end deffn
30518 @geindex Valueof (pyGHDL.libghdl.std_names.Name attribute)
30519 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Valueof}@anchor{d8a}
30520 @deffn {Attribute} Valueof  =  949
30521 @end deffn
30523 @geindex uValueof (pyGHDL.libghdl.std_names.Name attribute)
30524 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name uValueof}@anchor{d8b}
30525 @deffn {Attribute} uValueof  =  950
30526 @end deffn
30528 @geindex Last_BSV (pyGHDL.libghdl.std_names.Name attribute)
30529 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Last_BSV}@anchor{d8c}
30530 @deffn {Attribute} Last_BSV  =  950
30531 @end deffn
30533 @geindex First_Comment (pyGHDL.libghdl.std_names.Name attribute)
30534 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name First_Comment}@anchor{d8d}
30535 @deffn {Attribute} First_Comment  =  951
30536 @end deffn
30538 @geindex Psl (pyGHDL.libghdl.std_names.Name attribute)
30539 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Psl}@anchor{d8e}
30540 @deffn {Attribute} Psl  =  951
30541 @end deffn
30543 @geindex Pragma (pyGHDL.libghdl.std_names.Name attribute)
30544 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Pragma}@anchor{d8f}
30545 @deffn {Attribute} Pragma  =  952
30546 @end deffn
30548 @geindex Synthesis (pyGHDL.libghdl.std_names.Name attribute)
30549 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Synthesis}@anchor{d90}
30550 @deffn {Attribute} Synthesis  =  953
30551 @end deffn
30553 @geindex Synopsys (pyGHDL.libghdl.std_names.Name attribute)
30554 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Synopsys}@anchor{d91}
30555 @deffn {Attribute} Synopsys  =  954
30556 @end deffn
30558 @geindex Translate_Off (pyGHDL.libghdl.std_names.Name attribute)
30559 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Translate_Off}@anchor{d92}
30560 @deffn {Attribute} Translate_Off  =  955
30561 @end deffn
30563 @geindex Translate_On (pyGHDL.libghdl.std_names.Name attribute)
30564 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Translate_On}@anchor{d93}
30565 @deffn {Attribute} Translate_On  =  956
30566 @end deffn
30568 @geindex Translate (pyGHDL.libghdl.std_names.Name attribute)
30569 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Translate}@anchor{d94}
30570 @deffn {Attribute} Translate  =  957
30571 @end deffn
30573 @geindex Synthesis_Off (pyGHDL.libghdl.std_names.Name attribute)
30574 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Synthesis_Off}@anchor{d95}
30575 @deffn {Attribute} Synthesis_Off  =  958
30576 @end deffn
30578 @geindex Synthesis_On (pyGHDL.libghdl.std_names.Name attribute)
30579 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Synthesis_On}@anchor{d96}
30580 @deffn {Attribute} Synthesis_On  =  959
30581 @end deffn
30583 @geindex Off (pyGHDL.libghdl.std_names.Name attribute)
30584 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Off}@anchor{d97}
30585 @deffn {Attribute} Off  =  960
30586 @end deffn
30588 @geindex Full_Case (pyGHDL.libghdl.std_names.Name attribute)
30589 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Full_Case}@anchor{d98}
30590 @deffn {Attribute} Full_Case  =  961
30591 @end deffn
30593 @geindex Parallel_Case (pyGHDL.libghdl.std_names.Name attribute)
30594 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Parallel_Case}@anchor{d99}
30595 @deffn {Attribute} Parallel_Case  =  962
30596 @end deffn
30598 @geindex Last_Comment (pyGHDL.libghdl.std_names.Name attribute)
30599 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Last_Comment}@anchor{d9a}
30600 @deffn {Attribute} Last_Comment  =  962
30601 @end deffn
30603 @geindex First_PSL (pyGHDL.libghdl.std_names.Name attribute)
30604 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name First_PSL}@anchor{d9b}
30605 @deffn {Attribute} First_PSL  =  963
30606 @end deffn
30608 @geindex A (pyGHDL.libghdl.std_names.Name attribute)
30609 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name A}@anchor{d9c}
30610 @deffn {Attribute} A  =  963
30611 @end deffn
30613 @geindex Af (pyGHDL.libghdl.std_names.Name attribute)
30614 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Af}@anchor{d9d}
30615 @deffn {Attribute} Af  =  964
30616 @end deffn
30618 @geindex Ag (pyGHDL.libghdl.std_names.Name attribute)
30619 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Ag}@anchor{d9e}
30620 @deffn {Attribute} Ag  =  965
30621 @end deffn
30623 @geindex Ax (pyGHDL.libghdl.std_names.Name attribute)
30624 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Ax}@anchor{d9f}
30625 @deffn {Attribute} Ax  =  966
30626 @end deffn
30628 @geindex Abort (pyGHDL.libghdl.std_names.Name attribute)
30629 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Abort}@anchor{da0}
30630 @deffn {Attribute} Abort  =  967
30631 @end deffn
30633 @geindex Assume_Guarantee (pyGHDL.libghdl.std_names.Name attribute)
30634 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Assume_Guarantee}@anchor{da1}
30635 @deffn {Attribute} Assume_Guarantee  =  968
30636 @end deffn
30638 @geindex Async_Abort (pyGHDL.libghdl.std_names.Name attribute)
30639 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Async_Abort}@anchor{da2}
30640 @deffn {Attribute} Async_Abort  =  969
30641 @end deffn
30643 @geindex Before (pyGHDL.libghdl.std_names.Name attribute)
30644 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Before}@anchor{da3}
30645 @deffn {Attribute} Before  =  970
30646 @end deffn
30648 @geindex Clock (pyGHDL.libghdl.std_names.Name attribute)
30649 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Clock}@anchor{da4}
30650 @deffn {Attribute} Clock  =  971
30651 @end deffn
30653 @geindex E (pyGHDL.libghdl.std_names.Name attribute)
30654 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name E}@anchor{da5}
30655 @deffn {Attribute} E  =  972
30656 @end deffn
30658 @geindex Ef (pyGHDL.libghdl.std_names.Name attribute)
30659 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Ef}@anchor{da6}
30660 @deffn {Attribute} Ef  =  973
30661 @end deffn
30663 @geindex Eg (pyGHDL.libghdl.std_names.Name attribute)
30664 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Eg}@anchor{da7}
30665 @deffn {Attribute} Eg  =  974
30666 @end deffn
30668 @geindex Ex (pyGHDL.libghdl.std_names.Name attribute)
30669 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Ex}@anchor{da8}
30670 @deffn {Attribute} Ex  =  975
30671 @end deffn
30673 @geindex Endpoint (pyGHDL.libghdl.std_names.Name attribute)
30674 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Endpoint}@anchor{da9}
30675 @deffn {Attribute} Endpoint  =  976
30676 @end deffn
30678 @geindex Eventually (pyGHDL.libghdl.std_names.Name attribute)
30679 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Eventually}@anchor{daa}
30680 @deffn {Attribute} Eventually  =  977
30681 @end deffn
30683 @geindex Fairness (pyGHDL.libghdl.std_names.Name attribute)
30684 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Fairness}@anchor{dab}
30685 @deffn {Attribute} Fairness  =  978
30686 @end deffn
30688 @geindex Fell (pyGHDL.libghdl.std_names.Name attribute)
30689 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Fell}@anchor{dac}
30690 @deffn {Attribute} Fell  =  979
30691 @end deffn
30693 @geindex Forall (pyGHDL.libghdl.std_names.Name attribute)
30694 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Forall}@anchor{dad}
30695 @deffn {Attribute} Forall  =  980
30696 @end deffn
30698 @geindex G (pyGHDL.libghdl.std_names.Name attribute)
30699 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name G}@anchor{dae}
30700 @deffn {Attribute} G  =  981
30701 @end deffn
30703 @geindex Inf (pyGHDL.libghdl.std_names.Name attribute)
30704 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Inf}@anchor{daf}
30705 @deffn {Attribute} Inf  =  982
30706 @end deffn
30708 @geindex Never (pyGHDL.libghdl.std_names.Name attribute)
30709 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Never}@anchor{db0}
30710 @deffn {Attribute} Never  =  983
30711 @end deffn
30713 @geindex Next_A (pyGHDL.libghdl.std_names.Name attribute)
30714 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Next_A}@anchor{db1}
30715 @deffn {Attribute} Next_A  =  984
30716 @end deffn
30718 @geindex Next_E (pyGHDL.libghdl.std_names.Name attribute)
30719 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Next_E}@anchor{db2}
30720 @deffn {Attribute} Next_E  =  985
30721 @end deffn
30723 @geindex Next_Event (pyGHDL.libghdl.std_names.Name attribute)
30724 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Next_Event}@anchor{db3}
30725 @deffn {Attribute} Next_Event  =  986
30726 @end deffn
30728 @geindex Next_Event_A (pyGHDL.libghdl.std_names.Name attribute)
30729 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Next_Event_A}@anchor{db4}
30730 @deffn {Attribute} Next_Event_A  =  987
30731 @end deffn
30733 @geindex Next_Event_E (pyGHDL.libghdl.std_names.Name attribute)
30734 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Next_Event_E}@anchor{db5}
30735 @deffn {Attribute} Next_Event_E  =  988
30736 @end deffn
30738 @geindex Onehot (pyGHDL.libghdl.std_names.Name attribute)
30739 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Onehot}@anchor{db6}
30740 @deffn {Attribute} Onehot  =  989
30741 @end deffn
30743 @geindex Onehot0 (pyGHDL.libghdl.std_names.Name attribute)
30744 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Onehot0}@anchor{db7}
30745 @deffn {Attribute} Onehot0  =  990
30746 @end deffn
30748 @geindex Prev (pyGHDL.libghdl.std_names.Name attribute)
30749 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Prev}@anchor{db8}
30750 @deffn {Attribute} Prev  =  991
30751 @end deffn
30753 @geindex Rose (pyGHDL.libghdl.std_names.Name attribute)
30754 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Rose}@anchor{db9}
30755 @deffn {Attribute} Rose  =  992
30756 @end deffn
30758 @geindex Strong (pyGHDL.libghdl.std_names.Name attribute)
30759 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Strong}@anchor{dba}
30760 @deffn {Attribute} Strong  =  993
30761 @end deffn
30763 @geindex Sync_Abort (pyGHDL.libghdl.std_names.Name attribute)
30764 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Sync_Abort}@anchor{dbb}
30765 @deffn {Attribute} Sync_Abort  =  994
30766 @end deffn
30768 @geindex W (pyGHDL.libghdl.std_names.Name attribute)
30769 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name W}@anchor{dbc}
30770 @deffn {Attribute} W  =  995
30771 @end deffn
30773 @geindex Whilenot (pyGHDL.libghdl.std_names.Name attribute)
30774 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Whilenot}@anchor{dbd}
30775 @deffn {Attribute} Whilenot  =  996
30776 @end deffn
30778 @geindex Within (pyGHDL.libghdl.std_names.Name attribute)
30779 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Within}@anchor{dbe}
30780 @deffn {Attribute} Within  =  997
30781 @end deffn
30783 @geindex X (pyGHDL.libghdl.std_names.Name attribute)
30784 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name X}@anchor{dbf}
30785 @deffn {Attribute} X  =  998
30786 @end deffn
30788 @geindex Last_PSL (pyGHDL.libghdl.std_names.Name attribute)
30789 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Last_PSL}@anchor{dc0}
30790 @deffn {Attribute} Last_PSL  =  998
30791 @end deffn
30793 @geindex First_Edif (pyGHDL.libghdl.std_names.Name attribute)
30794 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name First_Edif}@anchor{dc1}
30795 @deffn {Attribute} First_Edif  =  999
30796 @end deffn
30798 @geindex Celltype (pyGHDL.libghdl.std_names.Name attribute)
30799 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Celltype}@anchor{dc2}
30800 @deffn {Attribute} Celltype  =  1009
30801 @end deffn
30803 @geindex View (pyGHDL.libghdl.std_names.Name attribute)
30804 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name View}@anchor{dc3}
30805 @deffn {Attribute} View  =  1010
30806 @end deffn
30808 @geindex Viewtype (pyGHDL.libghdl.std_names.Name attribute)
30809 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Viewtype}@anchor{dc4}
30810 @deffn {Attribute} Viewtype  =  1011
30811 @end deffn
30813 @geindex Direction (pyGHDL.libghdl.std_names.Name attribute)
30814 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Direction}@anchor{dc5}
30815 @deffn {Attribute} Direction  =  1012
30816 @end deffn
30818 @geindex Contents (pyGHDL.libghdl.std_names.Name attribute)
30819 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Contents}@anchor{dc6}
30820 @deffn {Attribute} Contents  =  1013
30821 @end deffn
30823 @geindex Net (pyGHDL.libghdl.std_names.Name attribute)
30824 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Net}@anchor{dc7}
30825 @deffn {Attribute} Net  =  1014
30826 @end deffn
30828 @geindex Viewref (pyGHDL.libghdl.std_names.Name attribute)
30829 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Viewref}@anchor{dc8}
30830 @deffn {Attribute} Viewref  =  1015
30831 @end deffn
30833 @geindex Cellref (pyGHDL.libghdl.std_names.Name attribute)
30834 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Cellref}@anchor{dc9}
30835 @deffn {Attribute} Cellref  =  1016
30836 @end deffn
30838 @geindex Libraryref (pyGHDL.libghdl.std_names.Name attribute)
30839 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Libraryref}@anchor{dca}
30840 @deffn {Attribute} Libraryref  =  1017
30841 @end deffn
30843 @geindex Portinstance (pyGHDL.libghdl.std_names.Name attribute)
30844 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Portinstance}@anchor{dcb}
30845 @deffn {Attribute} Portinstance  =  1018
30846 @end deffn
30848 @geindex Joined (pyGHDL.libghdl.std_names.Name attribute)
30849 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Joined}@anchor{dcc}
30850 @deffn {Attribute} Joined  =  1019
30851 @end deffn
30853 @geindex Portref (pyGHDL.libghdl.std_names.Name attribute)
30854 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Portref}@anchor{dcd}
30855 @deffn {Attribute} Portref  =  1020
30856 @end deffn
30858 @geindex Instanceref (pyGHDL.libghdl.std_names.Name attribute)
30859 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Instanceref}@anchor{dce}
30860 @deffn {Attribute} Instanceref  =  1021
30861 @end deffn
30863 @geindex Design (pyGHDL.libghdl.std_names.Name attribute)
30864 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Design}@anchor{dcf}
30865 @deffn {Attribute} Design  =  1022
30866 @end deffn
30868 @geindex Designator (pyGHDL.libghdl.std_names.Name attribute)
30869 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Designator}@anchor{dd0}
30870 @deffn {Attribute} Designator  =  1023
30871 @end deffn
30873 @geindex Owner (pyGHDL.libghdl.std_names.Name attribute)
30874 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Owner}@anchor{dd1}
30875 @deffn {Attribute} Owner  =  1024
30876 @end deffn
30878 @geindex Member (pyGHDL.libghdl.std_names.Name attribute)
30879 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Member}@anchor{dd2}
30880 @deffn {Attribute} Member  =  1025
30881 @end deffn
30883 @geindex Number (pyGHDL.libghdl.std_names.Name attribute)
30884 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Number}@anchor{dd3}
30885 @deffn {Attribute} Number  =  1026
30886 @end deffn
30888 @geindex Rename (pyGHDL.libghdl.std_names.Name attribute)
30889 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Rename}@anchor{dd4}
30890 @deffn {Attribute} Rename  =  1027
30891 @end deffn
30893 @geindex Userdata (pyGHDL.libghdl.std_names.Name attribute)
30894 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Userdata}@anchor{dd5}
30895 @deffn {Attribute} Userdata  =  1028
30896 @end deffn
30898 @geindex Last_Edif (pyGHDL.libghdl.std_names.Name attribute)
30899 @anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Last_Edif}@anchor{dd6}
30900 @deffn {Attribute} Last_Edif  =  1028
30901 @end deffn
30902 @end deffn
30904 @c # Load pre-defined aliases and graphical characters like © from docutils
30905 @c # <file> is used to denote the special path
30906 @c # <Python>\Lib\site-packages\docutils\parsers\rst\include
30908 @c This data file has been placed in the public domain.
30910 @c Derived from the Unicode character mappings available from
30911 @c <http://www.w3.org/2003/entities/xml/>.
30912 @c Processed by unicode2rstsubs.py, part of Docutils:
30913 @c <http://docutils.sourceforge.net>.
30915 @c This data file has been placed in the public domain.
30917 @c Derived from the Unicode character mappings available from
30918 @c <http://www.w3.org/2003/entities/xml/>.
30919 @c Processed by unicode2rstsubs.py, part of Docutils:
30920 @c <http://docutils.sourceforge.net>.
30922 @c # define a hard line break for HTML
30924 @node pyGHDL libghdl str_table,pyGHDL libghdl utils,pyGHDL libghdl std_names,pyGHDL libghdl
30925 @anchor{pyGHDL/pyGHDL libghdl str_table doc}@anchor{dd7}@anchor{pyGHDL/pyGHDL libghdl str_table module-pyGHDL libghdl str_table}@anchor{26}@anchor{pyGHDL/pyGHDL libghdl str_table pyghdl-libghdl-str-table}@anchor{dd8}
30926 @subsection pyGHDL.libghdl.str_table
30929 @geindex module; pyGHDL.libghdl.str_table
30931 @c #-----------------------------------
30933 @strong{Functions}
30936 @itemize -
30938 @item 
30939 @ref{dd9,,_String8_Address()}:
30941 @item 
30942 @ref{dda,,Get_String8_Ptr()}:
30943 Get the address of string8 ID. Note that as soon as a character is appended
30944 @end itemize
30946 @c #-----------------------------------
30948 @strong{Functions}
30950 @geindex _String8_Address() (in module pyGHDL.libghdl.str_table)
30951 @anchor{pyGHDL/pyGHDL libghdl str_table pyGHDL libghdl str_table _String8_Address}@anchor{dd9}
30952 @deffn {Function} pyGHDL.libghdl.str_table._String8_Address (Id)
30954 @*Return type: 
30955 c_char_p@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_char_p}
30957 @end deffn
30959 @geindex Get_String8_Ptr() (in module pyGHDL.libghdl.str_table)
30960 @anchor{pyGHDL/pyGHDL libghdl str_table pyGHDL libghdl str_table Get_String8_Ptr}@anchor{dda}
30961 @deffn {Function} pyGHDL.libghdl.str_table.Get_String8_Ptr (Id, Length)
30963 Get the address of string8 ID. Note that as soon as a character is appended
30964 (using Append_String8) or a string8 is resized (using Resize_String8), an
30965 address previously returned is not valid anymore.
30967 @*Parameters: 
30968 @code{Id} (TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{String8Id}, bound= c_uint@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_uint})) – String8Id for the string to query.
30971 @*Return type: 
30972 str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
30975 @*Returns: 
30976 String8 as string.
30978 @end deffn
30980 @c # Load pre-defined aliases and graphical characters like © from docutils
30981 @c # <file> is used to denote the special path
30982 @c # <Python>\Lib\site-packages\docutils\parsers\rst\include
30984 @c This data file has been placed in the public domain.
30986 @c Derived from the Unicode character mappings available from
30987 @c <http://www.w3.org/2003/entities/xml/>.
30988 @c Processed by unicode2rstsubs.py, part of Docutils:
30989 @c <http://docutils.sourceforge.net>.
30991 @c This data file has been placed in the public domain.
30993 @c Derived from the Unicode character mappings available from
30994 @c <http://www.w3.org/2003/entities/xml/>.
30995 @c Processed by unicode2rstsubs.py, part of Docutils:
30996 @c <http://docutils.sourceforge.net>.
30998 @c # define a hard line break for HTML
31000 @node pyGHDL libghdl utils,pyGHDL libghdl vhdl,pyGHDL libghdl str_table,pyGHDL libghdl
31001 @anchor{pyGHDL/pyGHDL libghdl utils doc}@anchor{ddb}@anchor{pyGHDL/pyGHDL libghdl utils module-pyGHDL libghdl utils}@anchor{27}@anchor{pyGHDL/pyGHDL libghdl utils pyghdl-libghdl-utils}@anchor{ddc}
31002 @subsection pyGHDL.libghdl.utils
31005 @geindex module; pyGHDL.libghdl.utils
31007 @c #-----------------------------------
31009 @strong{Functions}
31012 @itemize -
31014 @item 
31015 @ref{ddd,,name_image()}:
31016 Lookup a @code{Id} and return its string.
31018 @item 
31019 @ref{dde,,fields_image()}:
31020 String representation of Nodes_Meta.fields @code{idx}.
31022 @item 
31023 @ref{ddf,,kind_image()}:
31024 String representation of Nodes.Iir_Kind @code{k}.
31026 @item 
31027 @ref{de0,,types_image()}:
31028 String representation of Nodes_Meta.Types @code{t}.
31030 @item 
31031 @ref{de1,,attr_image()}:
31032 String representation of Nodes_Meta.Attr @code{a}.
31034 @item 
31035 @ref{de2,,leftest_location()}:
31036 Undocumented.
31038 @item 
31039 @ref{de3,,fields_iter()}:
31040 Iterate on fields of node @code{n}.
31042 @item 
31043 @ref{de4,,chain_iter()}:
31044 Iterate of a chain headed by node @code{n}.
31046 @item 
31047 @ref{de5,,chain_to_list()}:
31048 Convert a chain headed by node @code{n} to a Python list.
31050 @item 
31051 @ref{de6,,nodes_iter()}:
31052 Iterate all nodes of @code{n}, including @code{n}.
31054 @item 
31055 @ref{de7,,list_iter()}:
31056 Iterate all element of Iir_List @code{lst}.
31058 @item 
31059 @ref{de8,,flist_iter()}:
31060 Iterate all element of Iir_List @code{lst}.
31062 @item 
31063 @ref{de9,,declarations_iter()}:
31064 Iterate all declarations in node @code{n}.
31066 @item 
31067 @ref{dea,,concurrent_stmts_iter()}:
31068 Iterate concurrent statements in node @code{n}.
31070 @item 
31071 @ref{deb,,constructs_iter()}:
31072 Iterate library units, concurrent statements and declarations
31074 @item 
31075 @ref{dec,,sequential_iter()}:
31076 Iterate sequential statements. The first node must be either
31077 @end itemize
31079 @c #-----------------------------------
31081 @strong{Functions}
31083 @geindex name_image() (in module pyGHDL.libghdl.utils)
31084 @anchor{pyGHDL/pyGHDL libghdl utils pyGHDL libghdl utils name_image}@anchor{ddd}
31085 @deffn {Function} pyGHDL.libghdl.utils.name_image (Id)
31087 Lookup a @code{Id} and return its string.
31089 @*Return type: 
31090 str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
31092 @end deffn
31094 @geindex fields_image() (in module pyGHDL.libghdl.utils)
31095 @anchor{pyGHDL/pyGHDL libghdl utils pyGHDL libghdl utils fields_image}@anchor{dde}
31096 @deffn {Function} pyGHDL.libghdl.utils.fields_image (idx)
31098 String representation of Nodes_Meta.fields @code{idx}.
31100 @*Return type: 
31101 str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
31103 @end deffn
31105 @geindex kind_image() (in module pyGHDL.libghdl.utils)
31106 @anchor{pyGHDL/pyGHDL libghdl utils pyGHDL libghdl utils kind_image}@anchor{ddf}
31107 @deffn {Function} pyGHDL.libghdl.utils.kind_image (k)
31109 String representation of Nodes.Iir_Kind @code{k}.
31111 @*Return type: 
31112 str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
31114 @end deffn
31116 @geindex types_image() (in module pyGHDL.libghdl.utils)
31117 @anchor{pyGHDL/pyGHDL libghdl utils pyGHDL libghdl utils types_image}@anchor{de0}
31118 @deffn {Function} pyGHDL.libghdl.utils.types_image (t)
31120 String representation of Nodes_Meta.Types @code{t}.
31122 @*Return type: 
31123 str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
31125 @end deffn
31127 @geindex attr_image() (in module pyGHDL.libghdl.utils)
31128 @anchor{pyGHDL/pyGHDL libghdl utils pyGHDL libghdl utils attr_image}@anchor{de1}
31129 @deffn {Function} pyGHDL.libghdl.utils.attr_image (a)
31131 String representation of Nodes_Meta.Attr @code{a}.
31133 @*Return type: 
31134 str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
31136 @end deffn
31138 @geindex leftest_location() (in module pyGHDL.libghdl.utils)
31139 @anchor{pyGHDL/pyGHDL libghdl utils pyGHDL libghdl utils leftest_location}@anchor{de2}
31140 @deffn {Function} pyGHDL.libghdl.utils.leftest_location (n)
31141 @end deffn
31143 @geindex fields_iter() (in module pyGHDL.libghdl.utils)
31144 @anchor{pyGHDL/pyGHDL libghdl utils pyGHDL libghdl utils fields_iter}@anchor{de3}
31145 @deffn {Function} pyGHDL.libghdl.utils.fields_iter (n)
31147 Iterate on fields of node @code{n}.
31149 @*Return type: 
31150 Generator@footnote{https://docs.python.org/3.6/library/typing.html#typing.Generator}[Any@footnote{https://docs.python.org/3.6/library/typing.html#typing.Any}, None@footnote{https://docs.python.org/3.6/library/constants.html#None}, None@footnote{https://docs.python.org/3.6/library/constants.html#None}]
31152 @end deffn
31154 @geindex chain_iter() (in module pyGHDL.libghdl.utils)
31155 @anchor{pyGHDL/pyGHDL libghdl utils pyGHDL libghdl utils chain_iter}@anchor{de4}
31156 @deffn {Function} pyGHDL.libghdl.utils.chain_iter (n)
31158 Iterate of a chain headed by node @code{n}.
31160 @*Return type: 
31161 Generator@footnote{https://docs.python.org/3.6/library/typing.html#typing.Generator}[Any@footnote{https://docs.python.org/3.6/library/typing.html#typing.Any}, None@footnote{https://docs.python.org/3.6/library/constants.html#None}, None@footnote{https://docs.python.org/3.6/library/constants.html#None}]
31163 @end deffn
31165 @geindex chain_to_list() (in module pyGHDL.libghdl.utils)
31166 @anchor{pyGHDL/pyGHDL libghdl utils pyGHDL libghdl utils chain_to_list}@anchor{de5}
31167 @deffn {Function} pyGHDL.libghdl.utils.chain_to_list (n)
31169 Convert a chain headed by node @code{n} to a Python list.
31171 @*Return type: 
31172 List@footnote{https://docs.python.org/3.6/library/typing.html#typing.List}[Any@footnote{https://docs.python.org/3.6/library/typing.html#typing.Any}]
31174 @end deffn
31176 @geindex nodes_iter() (in module pyGHDL.libghdl.utils)
31177 @anchor{pyGHDL/pyGHDL libghdl utils pyGHDL libghdl utils nodes_iter}@anchor{de6}
31178 @deffn {Function} pyGHDL.libghdl.utils.nodes_iter (n)
31180 Iterate all nodes of @code{n}, including @code{n}.
31181 Nodes are returned only once.
31183 @*Return type: 
31184 Generator@footnote{https://docs.python.org/3.6/library/typing.html#typing.Generator}[Any@footnote{https://docs.python.org/3.6/library/typing.html#typing.Any}, None@footnote{https://docs.python.org/3.6/library/constants.html#None}, None@footnote{https://docs.python.org/3.6/library/constants.html#None}]
31186 @end deffn
31188 @geindex list_iter() (in module pyGHDL.libghdl.utils)
31189 @anchor{pyGHDL/pyGHDL libghdl utils pyGHDL libghdl utils list_iter}@anchor{de7}
31190 @deffn {Function} pyGHDL.libghdl.utils.list_iter (lst)
31192 Iterate all element of Iir_List @code{lst}.
31194 @*Return type: 
31195 Generator@footnote{https://docs.python.org/3.6/library/typing.html#typing.Generator}[Any@footnote{https://docs.python.org/3.6/library/typing.html#typing.Any}, None@footnote{https://docs.python.org/3.6/library/constants.html#None}, None@footnote{https://docs.python.org/3.6/library/constants.html#None}]
31197 @end deffn
31199 @geindex flist_iter() (in module pyGHDL.libghdl.utils)
31200 @anchor{pyGHDL/pyGHDL libghdl utils pyGHDL libghdl utils flist_iter}@anchor{de8}
31201 @deffn {Function} pyGHDL.libghdl.utils.flist_iter (lst)
31203 Iterate all element of Iir_List @code{lst}.
31205 @*Return type: 
31206 Generator@footnote{https://docs.python.org/3.6/library/typing.html#typing.Generator}[Any@footnote{https://docs.python.org/3.6/library/typing.html#typing.Any}, None@footnote{https://docs.python.org/3.6/library/constants.html#None}, None@footnote{https://docs.python.org/3.6/library/constants.html#None}]
31208 @end deffn
31210 @geindex declarations_iter() (in module pyGHDL.libghdl.utils)
31211 @anchor{pyGHDL/pyGHDL libghdl utils pyGHDL libghdl utils declarations_iter}@anchor{de9}
31212 @deffn {Function} pyGHDL.libghdl.utils.declarations_iter (n)
31214 Iterate all declarations in node @code{n}.
31216 @*Return type: 
31217 Generator@footnote{https://docs.python.org/3.6/library/typing.html#typing.Generator}[Any@footnote{https://docs.python.org/3.6/library/typing.html#typing.Any}, None@footnote{https://docs.python.org/3.6/library/constants.html#None}, None@footnote{https://docs.python.org/3.6/library/constants.html#None}]
31219 @end deffn
31221 @geindex concurrent_stmts_iter() (in module pyGHDL.libghdl.utils)
31222 @anchor{pyGHDL/pyGHDL libghdl utils pyGHDL libghdl utils concurrent_stmts_iter}@anchor{dea}
31223 @deffn {Function} pyGHDL.libghdl.utils.concurrent_stmts_iter (n)
31225 Iterate concurrent statements in node @code{n}.
31227 @*Return type: 
31228 Generator@footnote{https://docs.python.org/3.6/library/typing.html#typing.Generator}[Any@footnote{https://docs.python.org/3.6/library/typing.html#typing.Any}, None@footnote{https://docs.python.org/3.6/library/constants.html#None}, None@footnote{https://docs.python.org/3.6/library/constants.html#None}]
31230 @end deffn
31232 @geindex constructs_iter() (in module pyGHDL.libghdl.utils)
31233 @anchor{pyGHDL/pyGHDL libghdl utils pyGHDL libghdl utils constructs_iter}@anchor{deb}
31234 @deffn {Function} pyGHDL.libghdl.utils.constructs_iter (n)
31236 Iterate library units, concurrent statements and declarations
31237 that appear directly within a declarative part.
31239 @*Return type: 
31240 Generator@footnote{https://docs.python.org/3.6/library/typing.html#typing.Generator}[Any@footnote{https://docs.python.org/3.6/library/typing.html#typing.Any}, None@footnote{https://docs.python.org/3.6/library/constants.html#None}, None@footnote{https://docs.python.org/3.6/library/constants.html#None}]
31242 @end deffn
31244 @geindex sequential_iter() (in module pyGHDL.libghdl.utils)
31245 @anchor{pyGHDL/pyGHDL libghdl utils pyGHDL libghdl utils sequential_iter}@anchor{dec}
31246 @deffn {Function} pyGHDL.libghdl.utils.sequential_iter (n)
31248 Iterate sequential statements. The first node must be either
31249 a process or a subprogram body.
31251 @*Return type: 
31252 Generator@footnote{https://docs.python.org/3.6/library/typing.html#typing.Generator}[Any@footnote{https://docs.python.org/3.6/library/typing.html#typing.Any}, None@footnote{https://docs.python.org/3.6/library/constants.html#None}, None@footnote{https://docs.python.org/3.6/library/constants.html#None}]
31254 @end deffn
31256 @c # Load pre-defined aliases and graphical characters like © from docutils
31257 @c # <file> is used to denote the special path
31258 @c # <Python>\Lib\site-packages\docutils\parsers\rst\include
31260 @c This data file has been placed in the public domain.
31262 @c Derived from the Unicode character mappings available from
31263 @c <http://www.w3.org/2003/entities/xml/>.
31264 @c Processed by unicode2rstsubs.py, part of Docutils:
31265 @c <http://docutils.sourceforge.net>.
31267 @c This data file has been placed in the public domain.
31269 @c Derived from the Unicode character mappings available from
31270 @c <http://www.w3.org/2003/entities/xml/>.
31271 @c Processed by unicode2rstsubs.py, part of Docutils:
31272 @c <http://docutils.sourceforge.net>.
31274 @c # define a hard line break for HTML
31276 @node pyGHDL libghdl vhdl,,pyGHDL libghdl utils,pyGHDL libghdl
31277 @anchor{pyGHDL/pyGHDL libghdl vhdl doc}@anchor{ded}@anchor{pyGHDL/pyGHDL libghdl vhdl module-pyGHDL libghdl vhdl}@anchor{28}@anchor{pyGHDL/pyGHDL libghdl vhdl pyghdl-libghdl-vhdl}@anchor{dee}
31278 @subsection pyGHDL.libghdl.vhdl
31281 @geindex module; pyGHDL.libghdl.vhdl
31283 @c #-----------------------------------
31285 @strong{Submodules}
31287 @c # Load pre-defined aliases and graphical characters like © from docutils
31288 @c # <file> is used to denote the special path
31289 @c # <Python>\Lib\site-packages\docutils\parsers\rst\include
31291 @c This data file has been placed in the public domain.
31293 @c Derived from the Unicode character mappings available from
31294 @c <http://www.w3.org/2003/entities/xml/>.
31295 @c Processed by unicode2rstsubs.py, part of Docutils:
31296 @c <http://docutils.sourceforge.net>.
31298 @c This data file has been placed in the public domain.
31300 @c Derived from the Unicode character mappings available from
31301 @c <http://www.w3.org/2003/entities/xml/>.
31302 @c Processed by unicode2rstsubs.py, part of Docutils:
31303 @c <http://docutils.sourceforge.net>.
31305 @c # define a hard line break for HTML
31307 @menu
31308 * pyGHDL.libghdl.vhdl.canon: pyGHDL libghdl vhdl canon. 
31309 * pyGHDL.libghdl.vhdl.elocations: pyGHDL libghdl vhdl elocations. 
31310 * pyGHDL.libghdl.vhdl.flists: pyGHDL libghdl vhdl flists. 
31311 * pyGHDL.libghdl.vhdl.formatters: pyGHDL libghdl vhdl formatters. 
31312 * pyGHDL.libghdl.vhdl.ieee: pyGHDL libghdl vhdl ieee. 
31313 * pyGHDL.libghdl.vhdl.lists: pyGHDL libghdl vhdl lists. 
31314 * pyGHDL.libghdl.vhdl.nodes: pyGHDL libghdl vhdl nodes. 
31315 * pyGHDL.libghdl.vhdl.nodes_meta: pyGHDL libghdl vhdl nodes_meta. 
31316 * pyGHDL.libghdl.vhdl.nodes_utils: pyGHDL libghdl vhdl nodes_utils. 
31317 * pyGHDL.libghdl.vhdl.parse: pyGHDL libghdl vhdl parse. 
31318 * pyGHDL.libghdl.vhdl.sem: pyGHDL libghdl vhdl sem. 
31319 * pyGHDL.libghdl.vhdl.sem_lib: pyGHDL libghdl vhdl sem_lib. 
31320 * pyGHDL.libghdl.vhdl.std_package: pyGHDL libghdl vhdl std_package. 
31321 * pyGHDL.libghdl.vhdl.tokens: pyGHDL libghdl vhdl tokens. 
31322 * pyGHDL.libghdl.vhdl.utils: pyGHDL libghdl vhdl utils. 
31324 @end menu
31326 @node pyGHDL libghdl vhdl canon,pyGHDL libghdl vhdl elocations,,pyGHDL libghdl vhdl
31327 @anchor{pyGHDL/pyGHDL libghdl vhdl canon doc}@anchor{def}@anchor{pyGHDL/pyGHDL libghdl vhdl canon module-pyGHDL libghdl vhdl canon}@anchor{29}@anchor{pyGHDL/pyGHDL libghdl vhdl canon pyghdl-libghdl-vhdl-canon}@anchor{df0}
31328 @subsubsection pyGHDL.libghdl.vhdl.canon
31331 @geindex module; pyGHDL.libghdl.vhdl.canon
31333 @c #-----------------------------------
31335 @strong{Variables}
31338 @itemize -
31340 @item 
31341 @ref{df1,,Flag_Concurrent_Stmts}
31343 @item 
31344 @ref{df2,,Flag_Configurations}
31346 @item 
31347 @ref{df3,,Flag_Associations}
31348 @end itemize
31350 @geindex Flag_Concurrent_Stmts (in module pyGHDL.libghdl.vhdl.canon)
31351 @anchor{pyGHDL/pyGHDL libghdl vhdl canon pyGHDL libghdl vhdl canon Flag_Concurrent_Stmts}@anchor{df1}
31352 @deffn {Data} pyGHDL.libghdl.vhdl.canon.Flag_Concurrent_Stmts
31354 @example
31355 c_bool(True)
31356 @end example
31357 @end deffn
31359 @geindex Flag_Configurations (in module pyGHDL.libghdl.vhdl.canon)
31360 @anchor{pyGHDL/pyGHDL libghdl vhdl canon pyGHDL libghdl vhdl canon Flag_Configurations}@anchor{df2}
31361 @deffn {Data} pyGHDL.libghdl.vhdl.canon.Flag_Configurations
31363 @example
31364 c_bool(True)
31365 @end example
31366 @end deffn
31368 @geindex Flag_Associations (in module pyGHDL.libghdl.vhdl.canon)
31369 @anchor{pyGHDL/pyGHDL libghdl vhdl canon pyGHDL libghdl vhdl canon Flag_Associations}@anchor{df3}
31370 @deffn {Data} pyGHDL.libghdl.vhdl.canon.Flag_Associations
31372 @example
31373 c_bool(True)
31374 @end example
31375 @end deffn
31377 @c # Load pre-defined aliases and graphical characters like © from docutils
31378 @c # <file> is used to denote the special path
31379 @c # <Python>\Lib\site-packages\docutils\parsers\rst\include
31381 @c This data file has been placed in the public domain.
31383 @c Derived from the Unicode character mappings available from
31384 @c <http://www.w3.org/2003/entities/xml/>.
31385 @c Processed by unicode2rstsubs.py, part of Docutils:
31386 @c <http://docutils.sourceforge.net>.
31388 @c This data file has been placed in the public domain.
31390 @c Derived from the Unicode character mappings available from
31391 @c <http://www.w3.org/2003/entities/xml/>.
31392 @c Processed by unicode2rstsubs.py, part of Docutils:
31393 @c <http://docutils.sourceforge.net>.
31395 @c # define a hard line break for HTML
31397 @node pyGHDL libghdl vhdl elocations,pyGHDL libghdl vhdl flists,pyGHDL libghdl vhdl canon,pyGHDL libghdl vhdl
31398 @anchor{pyGHDL/pyGHDL libghdl vhdl elocations doc}@anchor{df4}@anchor{pyGHDL/pyGHDL libghdl vhdl elocations module-pyGHDL libghdl vhdl elocations}@anchor{2a}@anchor{pyGHDL/pyGHDL libghdl vhdl elocations pyghdl-libghdl-vhdl-elocations}@anchor{df5}
31399 @subsubsection pyGHDL.libghdl.vhdl.elocations
31402 @geindex module; pyGHDL.libghdl.vhdl.elocations
31404 @c #-----------------------------------
31406 @strong{Functions}
31409 @itemize -
31411 @item 
31412 @ref{df6,,Get_Start_Location()}:
31413 Undocumented.
31415 @item 
31416 @ref{df7,,Set_Start_Location()}:
31417 Undocumented.
31419 @item 
31420 @ref{df8,,Get_Right_Paren_Location()}:
31421 Undocumented.
31423 @item 
31424 @ref{df9,,Set_Right_Paren_Location()}:
31425 Undocumented.
31427 @item 
31428 @ref{dfa,,Get_End_Location()}:
31429 Undocumented.
31431 @item 
31432 @ref{dfb,,Set_End_Location()}:
31433 Undocumented.
31435 @item 
31436 @ref{dfc,,Get_Is_Location()}:
31437 Undocumented.
31439 @item 
31440 @ref{dfd,,Set_Is_Location()}:
31441 Undocumented.
31443 @item 
31444 @ref{dfe,,Get_Begin_Location()}:
31445 Undocumented.
31447 @item 
31448 @ref{dff,,Set_Begin_Location()}:
31449 Undocumented.
31451 @item 
31452 @ref{e00,,Get_Then_Location()}:
31453 Undocumented.
31455 @item 
31456 @ref{e01,,Set_Then_Location()}:
31457 Undocumented.
31459 @item 
31460 @ref{e02,,Get_Use_Location()}:
31461 Undocumented.
31463 @item 
31464 @ref{e03,,Set_Use_Location()}:
31465 Undocumented.
31467 @item 
31468 @ref{e04,,Get_Loop_Location()}:
31469 Undocumented.
31471 @item 
31472 @ref{e05,,Set_Loop_Location()}:
31473 Undocumented.
31475 @item 
31476 @ref{e06,,Get_Generate_Location()}:
31477 Undocumented.
31479 @item 
31480 @ref{e07,,Set_Generate_Location()}:
31481 Undocumented.
31483 @item 
31484 @ref{e08,,Get_Generic_Location()}:
31485 Undocumented.
31487 @item 
31488 @ref{e09,,Set_Generic_Location()}:
31489 Undocumented.
31491 @item 
31492 @ref{e0a,,Get_Port_Location()}:
31493 Undocumented.
31495 @item 
31496 @ref{e0b,,Set_Port_Location()}:
31497 Undocumented.
31499 @item 
31500 @ref{e0c,,Get_Generic_Map_Location()}:
31501 Undocumented.
31503 @item 
31504 @ref{e0d,,Set_Generic_Map_Location()}:
31505 Undocumented.
31507 @item 
31508 @ref{e0e,,Get_Port_Map_Location()}:
31509 Undocumented.
31511 @item 
31512 @ref{e0f,,Set_Port_Map_Location()}:
31513 Undocumented.
31515 @item 
31516 @ref{e10,,Get_Arrow_Location()}:
31517 Undocumented.
31519 @item 
31520 @ref{e11,,Set_Arrow_Location()}:
31521 Undocumented.
31523 @item 
31524 @ref{e12,,Get_Colon_Location()}:
31525 Undocumented.
31527 @item 
31528 @ref{e13,,Set_Colon_Location()}:
31529 Undocumented.
31531 @item 
31532 @ref{e14,,Get_Assign_Location()}:
31533 Undocumented.
31535 @item 
31536 @ref{e15,,Set_Assign_Location()}:
31537 Undocumented.
31538 @end itemize
31540 @c #-----------------------------------
31542 @strong{Functions}
31544 @geindex Get_Start_Location() (in module pyGHDL.libghdl.vhdl.elocations)
31545 @anchor{pyGHDL/pyGHDL libghdl vhdl elocations pyGHDL libghdl vhdl elocations Get_Start_Location}@anchor{df6}
31546 @deffn {Function} pyGHDL.libghdl.vhdl.elocations.Get_Start_Location (obj)
31547 @end deffn
31549 @geindex Set_Start_Location() (in module pyGHDL.libghdl.vhdl.elocations)
31550 @anchor{pyGHDL/pyGHDL libghdl vhdl elocations pyGHDL libghdl vhdl elocations Set_Start_Location}@anchor{df7}
31551 @deffn {Function} pyGHDL.libghdl.vhdl.elocations.Set_Start_Location (obj, value)
31553 @*Return type: 
31554 None@footnote{https://docs.python.org/3.6/library/constants.html#None}
31556 @end deffn
31558 @geindex Get_Right_Paren_Location() (in module pyGHDL.libghdl.vhdl.elocations)
31559 @anchor{pyGHDL/pyGHDL libghdl vhdl elocations pyGHDL libghdl vhdl elocations Get_Right_Paren_Location}@anchor{df8}
31560 @deffn {Function} pyGHDL.libghdl.vhdl.elocations.Get_Right_Paren_Location (obj)
31561 @end deffn
31563 @geindex Set_Right_Paren_Location() (in module pyGHDL.libghdl.vhdl.elocations)
31564 @anchor{pyGHDL/pyGHDL libghdl vhdl elocations pyGHDL libghdl vhdl elocations Set_Right_Paren_Location}@anchor{df9}
31565 @deffn {Function} pyGHDL.libghdl.vhdl.elocations.Set_Right_Paren_Location (obj, value)
31567 @*Return type: 
31568 None@footnote{https://docs.python.org/3.6/library/constants.html#None}
31570 @end deffn
31572 @geindex Get_End_Location() (in module pyGHDL.libghdl.vhdl.elocations)
31573 @anchor{pyGHDL/pyGHDL libghdl vhdl elocations pyGHDL libghdl vhdl elocations Get_End_Location}@anchor{dfa}
31574 @deffn {Function} pyGHDL.libghdl.vhdl.elocations.Get_End_Location (obj)
31575 @end deffn
31577 @geindex Set_End_Location() (in module pyGHDL.libghdl.vhdl.elocations)
31578 @anchor{pyGHDL/pyGHDL libghdl vhdl elocations pyGHDL libghdl vhdl elocations Set_End_Location}@anchor{dfb}
31579 @deffn {Function} pyGHDL.libghdl.vhdl.elocations.Set_End_Location (obj, value)
31581 @*Return type: 
31582 None@footnote{https://docs.python.org/3.6/library/constants.html#None}
31584 @end deffn
31586 @geindex Get_Is_Location() (in module pyGHDL.libghdl.vhdl.elocations)
31587 @anchor{pyGHDL/pyGHDL libghdl vhdl elocations pyGHDL libghdl vhdl elocations Get_Is_Location}@anchor{dfc}
31588 @deffn {Function} pyGHDL.libghdl.vhdl.elocations.Get_Is_Location (obj)
31589 @end deffn
31591 @geindex Set_Is_Location() (in module pyGHDL.libghdl.vhdl.elocations)
31592 @anchor{pyGHDL/pyGHDL libghdl vhdl elocations pyGHDL libghdl vhdl elocations Set_Is_Location}@anchor{dfd}
31593 @deffn {Function} pyGHDL.libghdl.vhdl.elocations.Set_Is_Location (obj, value)
31595 @*Return type: 
31596 None@footnote{https://docs.python.org/3.6/library/constants.html#None}
31598 @end deffn
31600 @geindex Get_Begin_Location() (in module pyGHDL.libghdl.vhdl.elocations)
31601 @anchor{pyGHDL/pyGHDL libghdl vhdl elocations pyGHDL libghdl vhdl elocations Get_Begin_Location}@anchor{dfe}
31602 @deffn {Function} pyGHDL.libghdl.vhdl.elocations.Get_Begin_Location (obj)
31603 @end deffn
31605 @geindex Set_Begin_Location() (in module pyGHDL.libghdl.vhdl.elocations)
31606 @anchor{pyGHDL/pyGHDL libghdl vhdl elocations pyGHDL libghdl vhdl elocations Set_Begin_Location}@anchor{dff}
31607 @deffn {Function} pyGHDL.libghdl.vhdl.elocations.Set_Begin_Location (obj, value)
31609 @*Return type: 
31610 None@footnote{https://docs.python.org/3.6/library/constants.html#None}
31612 @end deffn
31614 @geindex Get_Then_Location() (in module pyGHDL.libghdl.vhdl.elocations)
31615 @anchor{pyGHDL/pyGHDL libghdl vhdl elocations pyGHDL libghdl vhdl elocations Get_Then_Location}@anchor{e00}
31616 @deffn {Function} pyGHDL.libghdl.vhdl.elocations.Get_Then_Location (obj)
31617 @end deffn
31619 @geindex Set_Then_Location() (in module pyGHDL.libghdl.vhdl.elocations)
31620 @anchor{pyGHDL/pyGHDL libghdl vhdl elocations pyGHDL libghdl vhdl elocations Set_Then_Location}@anchor{e01}
31621 @deffn {Function} pyGHDL.libghdl.vhdl.elocations.Set_Then_Location (obj, value)
31623 @*Return type: 
31624 None@footnote{https://docs.python.org/3.6/library/constants.html#None}
31626 @end deffn
31628 @geindex Get_Use_Location() (in module pyGHDL.libghdl.vhdl.elocations)
31629 @anchor{pyGHDL/pyGHDL libghdl vhdl elocations pyGHDL libghdl vhdl elocations Get_Use_Location}@anchor{e02}
31630 @deffn {Function} pyGHDL.libghdl.vhdl.elocations.Get_Use_Location (obj)
31631 @end deffn
31633 @geindex Set_Use_Location() (in module pyGHDL.libghdl.vhdl.elocations)
31634 @anchor{pyGHDL/pyGHDL libghdl vhdl elocations pyGHDL libghdl vhdl elocations Set_Use_Location}@anchor{e03}
31635 @deffn {Function} pyGHDL.libghdl.vhdl.elocations.Set_Use_Location (obj, value)
31637 @*Return type: 
31638 None@footnote{https://docs.python.org/3.6/library/constants.html#None}
31640 @end deffn
31642 @geindex Get_Loop_Location() (in module pyGHDL.libghdl.vhdl.elocations)
31643 @anchor{pyGHDL/pyGHDL libghdl vhdl elocations pyGHDL libghdl vhdl elocations Get_Loop_Location}@anchor{e04}
31644 @deffn {Function} pyGHDL.libghdl.vhdl.elocations.Get_Loop_Location (obj)
31645 @end deffn
31647 @geindex Set_Loop_Location() (in module pyGHDL.libghdl.vhdl.elocations)
31648 @anchor{pyGHDL/pyGHDL libghdl vhdl elocations pyGHDL libghdl vhdl elocations Set_Loop_Location}@anchor{e05}
31649 @deffn {Function} pyGHDL.libghdl.vhdl.elocations.Set_Loop_Location (obj, value)
31651 @*Return type: 
31652 None@footnote{https://docs.python.org/3.6/library/constants.html#None}
31654 @end deffn
31656 @geindex Get_Generate_Location() (in module pyGHDL.libghdl.vhdl.elocations)
31657 @anchor{pyGHDL/pyGHDL libghdl vhdl elocations pyGHDL libghdl vhdl elocations Get_Generate_Location}@anchor{e06}
31658 @deffn {Function} pyGHDL.libghdl.vhdl.elocations.Get_Generate_Location (obj)
31659 @end deffn
31661 @geindex Set_Generate_Location() (in module pyGHDL.libghdl.vhdl.elocations)
31662 @anchor{pyGHDL/pyGHDL libghdl vhdl elocations pyGHDL libghdl vhdl elocations Set_Generate_Location}@anchor{e07}
31663 @deffn {Function} pyGHDL.libghdl.vhdl.elocations.Set_Generate_Location (obj, value)
31665 @*Return type: 
31666 None@footnote{https://docs.python.org/3.6/library/constants.html#None}
31668 @end deffn
31670 @geindex Get_Generic_Location() (in module pyGHDL.libghdl.vhdl.elocations)
31671 @anchor{pyGHDL/pyGHDL libghdl vhdl elocations pyGHDL libghdl vhdl elocations Get_Generic_Location}@anchor{e08}
31672 @deffn {Function} pyGHDL.libghdl.vhdl.elocations.Get_Generic_Location (obj)
31673 @end deffn
31675 @geindex Set_Generic_Location() (in module pyGHDL.libghdl.vhdl.elocations)
31676 @anchor{pyGHDL/pyGHDL libghdl vhdl elocations pyGHDL libghdl vhdl elocations Set_Generic_Location}@anchor{e09}
31677 @deffn {Function} pyGHDL.libghdl.vhdl.elocations.Set_Generic_Location (obj, value)
31679 @*Return type: 
31680 None@footnote{https://docs.python.org/3.6/library/constants.html#None}
31682 @end deffn
31684 @geindex Get_Port_Location() (in module pyGHDL.libghdl.vhdl.elocations)
31685 @anchor{pyGHDL/pyGHDL libghdl vhdl elocations pyGHDL libghdl vhdl elocations Get_Port_Location}@anchor{e0a}
31686 @deffn {Function} pyGHDL.libghdl.vhdl.elocations.Get_Port_Location (obj)
31687 @end deffn
31689 @geindex Set_Port_Location() (in module pyGHDL.libghdl.vhdl.elocations)
31690 @anchor{pyGHDL/pyGHDL libghdl vhdl elocations pyGHDL libghdl vhdl elocations Set_Port_Location}@anchor{e0b}
31691 @deffn {Function} pyGHDL.libghdl.vhdl.elocations.Set_Port_Location (obj, value)
31693 @*Return type: 
31694 None@footnote{https://docs.python.org/3.6/library/constants.html#None}
31696 @end deffn
31698 @geindex Get_Generic_Map_Location() (in module pyGHDL.libghdl.vhdl.elocations)
31699 @anchor{pyGHDL/pyGHDL libghdl vhdl elocations pyGHDL libghdl vhdl elocations Get_Generic_Map_Location}@anchor{e0c}
31700 @deffn {Function} pyGHDL.libghdl.vhdl.elocations.Get_Generic_Map_Location (obj)
31701 @end deffn
31703 @geindex Set_Generic_Map_Location() (in module pyGHDL.libghdl.vhdl.elocations)
31704 @anchor{pyGHDL/pyGHDL libghdl vhdl elocations pyGHDL libghdl vhdl elocations Set_Generic_Map_Location}@anchor{e0d}
31705 @deffn {Function} pyGHDL.libghdl.vhdl.elocations.Set_Generic_Map_Location (obj, value)
31707 @*Return type: 
31708 None@footnote{https://docs.python.org/3.6/library/constants.html#None}
31710 @end deffn
31712 @geindex Get_Port_Map_Location() (in module pyGHDL.libghdl.vhdl.elocations)
31713 @anchor{pyGHDL/pyGHDL libghdl vhdl elocations pyGHDL libghdl vhdl elocations Get_Port_Map_Location}@anchor{e0e}
31714 @deffn {Function} pyGHDL.libghdl.vhdl.elocations.Get_Port_Map_Location (obj)
31715 @end deffn
31717 @geindex Set_Port_Map_Location() (in module pyGHDL.libghdl.vhdl.elocations)
31718 @anchor{pyGHDL/pyGHDL libghdl vhdl elocations pyGHDL libghdl vhdl elocations Set_Port_Map_Location}@anchor{e0f}
31719 @deffn {Function} pyGHDL.libghdl.vhdl.elocations.Set_Port_Map_Location (obj, value)
31721 @*Return type: 
31722 None@footnote{https://docs.python.org/3.6/library/constants.html#None}
31724 @end deffn
31726 @geindex Get_Arrow_Location() (in module pyGHDL.libghdl.vhdl.elocations)
31727 @anchor{pyGHDL/pyGHDL libghdl vhdl elocations pyGHDL libghdl vhdl elocations Get_Arrow_Location}@anchor{e10}
31728 @deffn {Function} pyGHDL.libghdl.vhdl.elocations.Get_Arrow_Location (obj)
31729 @end deffn
31731 @geindex Set_Arrow_Location() (in module pyGHDL.libghdl.vhdl.elocations)
31732 @anchor{pyGHDL/pyGHDL libghdl vhdl elocations pyGHDL libghdl vhdl elocations Set_Arrow_Location}@anchor{e11}
31733 @deffn {Function} pyGHDL.libghdl.vhdl.elocations.Set_Arrow_Location (obj, value)
31735 @*Return type: 
31736 None@footnote{https://docs.python.org/3.6/library/constants.html#None}
31738 @end deffn
31740 @geindex Get_Colon_Location() (in module pyGHDL.libghdl.vhdl.elocations)
31741 @anchor{pyGHDL/pyGHDL libghdl vhdl elocations pyGHDL libghdl vhdl elocations Get_Colon_Location}@anchor{e12}
31742 @deffn {Function} pyGHDL.libghdl.vhdl.elocations.Get_Colon_Location (obj)
31743 @end deffn
31745 @geindex Set_Colon_Location() (in module pyGHDL.libghdl.vhdl.elocations)
31746 @anchor{pyGHDL/pyGHDL libghdl vhdl elocations pyGHDL libghdl vhdl elocations Set_Colon_Location}@anchor{e13}
31747 @deffn {Function} pyGHDL.libghdl.vhdl.elocations.Set_Colon_Location (obj, value)
31749 @*Return type: 
31750 None@footnote{https://docs.python.org/3.6/library/constants.html#None}
31752 @end deffn
31754 @geindex Get_Assign_Location() (in module pyGHDL.libghdl.vhdl.elocations)
31755 @anchor{pyGHDL/pyGHDL libghdl vhdl elocations pyGHDL libghdl vhdl elocations Get_Assign_Location}@anchor{e14}
31756 @deffn {Function} pyGHDL.libghdl.vhdl.elocations.Get_Assign_Location (obj)
31757 @end deffn
31759 @geindex Set_Assign_Location() (in module pyGHDL.libghdl.vhdl.elocations)
31760 @anchor{pyGHDL/pyGHDL libghdl vhdl elocations pyGHDL libghdl vhdl elocations Set_Assign_Location}@anchor{e15}
31761 @deffn {Function} pyGHDL.libghdl.vhdl.elocations.Set_Assign_Location (obj, value)
31763 @*Return type: 
31764 None@footnote{https://docs.python.org/3.6/library/constants.html#None}
31766 @end deffn
31768 @c # Load pre-defined aliases and graphical characters like © from docutils
31769 @c # <file> is used to denote the special path
31770 @c # <Python>\Lib\site-packages\docutils\parsers\rst\include
31772 @c This data file has been placed in the public domain.
31774 @c Derived from the Unicode character mappings available from
31775 @c <http://www.w3.org/2003/entities/xml/>.
31776 @c Processed by unicode2rstsubs.py, part of Docutils:
31777 @c <http://docutils.sourceforge.net>.
31779 @c This data file has been placed in the public domain.
31781 @c Derived from the Unicode character mappings available from
31782 @c <http://www.w3.org/2003/entities/xml/>.
31783 @c Processed by unicode2rstsubs.py, part of Docutils:
31784 @c <http://docutils.sourceforge.net>.
31786 @c # define a hard line break for HTML
31788 @node pyGHDL libghdl vhdl flists,pyGHDL libghdl vhdl formatters,pyGHDL libghdl vhdl elocations,pyGHDL libghdl vhdl
31789 @anchor{pyGHDL/pyGHDL libghdl vhdl flists doc}@anchor{e16}@anchor{pyGHDL/pyGHDL libghdl vhdl flists module-pyGHDL libghdl vhdl flists}@anchor{2b}@anchor{pyGHDL/pyGHDL libghdl vhdl flists pyghdl-libghdl-vhdl-flists}@anchor{e17}
31790 @subsubsection pyGHDL.libghdl.vhdl.flists
31793 @geindex module; pyGHDL.libghdl.vhdl.flists
31795 @c #-----------------------------------
31797 @strong{Variables}
31800 @itemize -
31802 @item 
31803 @ref{e18,,Ffirst}
31804 @end itemize
31806 @strong{Classes}
31809 @itemize -
31811 @item 
31812 @ref{e19,,Flist_Type}:
31813 XXX to be provided
31814 @end itemize
31816 @strong{Functions}
31819 @itemize -
31821 @item 
31822 @ref{e1a,,Flast()}:
31823 Last index of @code{FList}.
31825 @item 
31826 @ref{e1b,,Length()}:
31827 Get the length of @code{FList}.
31829 @item 
31830 @ref{e1c,,Get_Nth_Element()}:
31831 Get the N-th element of @code{FList}.
31832 @end itemize
31834 @geindex Ffirst (in module pyGHDL.libghdl.vhdl.flists)
31835 @anchor{pyGHDL/pyGHDL libghdl vhdl flists pyGHDL libghdl vhdl flists Ffirst}@anchor{e18}
31836 @deffn {Data} pyGHDL.libghdl.vhdl.flists.Ffirst
31838 int([x]) -> integer
31839 int(x, base=10) -> integer
31841 Convert a number or string to an integer, or return 0 if no arguments
31842 are given.  If x is a number, return x.__int__().  For floating point
31843 numbers, this truncates towards zero.
31845 If x is not a number or if base is given, then x must be a string,
31846 bytes, or bytearray instance representing an integer literal in the
31847 given base.  The literal can be preceded by ‘+’ or ‘-‘ and be surrounded
31848 by whitespace.  The base defaults to 10.  Valid bases are 0 and 2-36.
31849 Base 0 means to interpret the base from the string as an integer literal.
31850 >>> int(‘0b100’, base=0)
31853 @example
31855 @end example
31856 @end deffn
31858 @c #-----------------------------------
31860 @geindex Flist_Type (in module pyGHDL.libghdl.vhdl.flists)
31861 @anchor{pyGHDL/pyGHDL libghdl vhdl flists pyGHDL libghdl vhdl flists Flist_Type}@anchor{e19}
31862 @deffn {Attribute} pyGHDL.libghdl.vhdl.flists.Flist_Type
31864 First index of a @code{FList}.
31866 @subsubheading Inheritance
31868 @image{inheritance-fe95ca1711485f06f2669f0640c0cacc60fd33bc,,,[graphviz],png}
31870 @subsubheading Members
31872 @end deffn
31874 @c #-----------------------------------
31876 @strong{Functions}
31878 @geindex Flast() (in module pyGHDL.libghdl.vhdl.flists)
31879 @anchor{pyGHDL/pyGHDL libghdl vhdl flists pyGHDL libghdl vhdl flists Flast}@anchor{e1a}
31880 @deffn {Function} pyGHDL.libghdl.vhdl.flists.Flast (FList)
31882 Last index of @code{FList}.
31884 @cartouche
31885 @quotation Hint 
31886 Could be used to iterate.
31887 @end quotation
31888 @end cartouche
31890 @*Parameters: 
31891 @code{FList} (int@footnote{https://docs.python.org/3.6/library/functions.html#int}) – List to query.
31894 @*Return type: 
31895 int@footnote{https://docs.python.org/3.6/library/functions.html#int}
31898 @*Returns: 
31899 Index of the last element in the list.
31901 @end deffn
31903 @geindex Length() (in module pyGHDL.libghdl.vhdl.flists)
31904 @anchor{pyGHDL/pyGHDL libghdl vhdl flists pyGHDL libghdl vhdl flists Length}@anchor{e1b}
31905 @deffn {Function} pyGHDL.libghdl.vhdl.flists.Length (FList)
31907 Get the length of @code{FList}.
31909 @*Parameters: 
31910 @code{FList} (int@footnote{https://docs.python.org/3.6/library/functions.html#int}) – List to query.
31913 @*Return type: 
31914 int@footnote{https://docs.python.org/3.6/library/functions.html#int}
31917 @*Returns: 
31918 Number of elements in the list.
31920 @end deffn
31922 @geindex Get_Nth_Element() (in module pyGHDL.libghdl.vhdl.flists)
31923 @anchor{pyGHDL/pyGHDL libghdl vhdl flists pyGHDL libghdl vhdl flists Get_Nth_Element}@anchor{e1c}
31924 @deffn {Function} pyGHDL.libghdl.vhdl.flists.Get_Nth_Element (FList, N)
31926 Get the N-th element of @code{FList}.
31928 First element has index 0.
31930 @*Parameters: 
31931 @code{FList} (int@footnote{https://docs.python.org/3.6/library/functions.html#int}) – List to query.
31934 @*Return type: 
31935 int@footnote{https://docs.python.org/3.6/library/functions.html#int}
31938 @*Returns: 
31939 Type: @code{El_Type}
31941 @end deffn
31943 @c # Load pre-defined aliases and graphical characters like © from docutils
31944 @c # <file> is used to denote the special path
31945 @c # <Python>\Lib\site-packages\docutils\parsers\rst\include
31947 @c This data file has been placed in the public domain.
31949 @c Derived from the Unicode character mappings available from
31950 @c <http://www.w3.org/2003/entities/xml/>.
31951 @c Processed by unicode2rstsubs.py, part of Docutils:
31952 @c <http://docutils.sourceforge.net>.
31954 @c This data file has been placed in the public domain.
31956 @c Derived from the Unicode character mappings available from
31957 @c <http://www.w3.org/2003/entities/xml/>.
31958 @c Processed by unicode2rstsubs.py, part of Docutils:
31959 @c <http://docutils.sourceforge.net>.
31961 @c # define a hard line break for HTML
31963 @node pyGHDL libghdl vhdl formatters,pyGHDL libghdl vhdl ieee,pyGHDL libghdl vhdl flists,pyGHDL libghdl vhdl
31964 @anchor{pyGHDL/pyGHDL libghdl vhdl formatters doc}@anchor{e1d}@anchor{pyGHDL/pyGHDL libghdl vhdl formatters module-pyGHDL libghdl vhdl formatters}@anchor{2c}@anchor{pyGHDL/pyGHDL libghdl vhdl formatters pyghdl-libghdl-vhdl-formatters}@anchor{e1e}
31965 @subsubsection pyGHDL.libghdl.vhdl.formatters
31968 @geindex module; pyGHDL.libghdl.vhdl.formatters
31970 @c #-----------------------------------
31972 @strong{Functions}
31975 @itemize -
31977 @item 
31978 @ref{e1f,,Indent_String()}:
31979 Reindent all lines of F between [First_Line; Last_Line] to @code{Handle}.
31981 @item 
31982 @ref{e20,,Allocate_Handle()}:
31983 .. todo:: Undocumented in Ada code.
31985 @item 
31986 @ref{e21,,Get_Length()}:
31987 .. todo:: Undocumented in Ada code.
31989 @item 
31990 @ref{e22,,Get_C_String()}:
31991 .. todo:: Undocumented in Ada code.
31993 @item 
31994 @ref{e23,,Free_Handle()}:
31995 .. todo:: Undocumented in Ada code.
31996 @end itemize
31998 @c #-----------------------------------
32000 @strong{Functions}
32002 @geindex Indent_String() (in module pyGHDL.libghdl.vhdl.formatters)
32003 @anchor{pyGHDL/pyGHDL libghdl vhdl formatters pyGHDL libghdl vhdl formatters Indent_String}@anchor{e1f}
32004 @deffn {Function} pyGHDL.libghdl.vhdl.formatters.Indent_String (File, Handle, FirstLine, LastLine)
32006 Reindent all lines of F between [First_Line; Last_Line] to @code{Handle}.
32008 @*Parameters: 
32010 @itemize *
32012 @item 
32013 @code{File} (int@footnote{https://docs.python.org/3.6/library/functions.html#int}) – File to indent lines within. Type: @code{Iir_Design_File}
32015 @item 
32016 @code{Handle} (int@footnote{https://docs.python.org/3.6/library/functions.html#int}) – undocumented. Type: @code{Vstring_Acc}
32018 @item 
32019 @code{FirstLine} (int@footnote{https://docs.python.org/3.6/library/functions.html#int}) – undocumented.
32021 @item 
32022 @code{LastLine} (int@footnote{https://docs.python.org/3.6/library/functions.html#int}) – undocumented.
32023 @end itemize
32026 @*Return type: 
32027 None@footnote{https://docs.python.org/3.6/library/constants.html#None}
32029 @end deffn
32031 @geindex Allocate_Handle() (in module pyGHDL.libghdl.vhdl.formatters)
32032 @anchor{pyGHDL/pyGHDL libghdl vhdl formatters pyGHDL libghdl vhdl formatters Allocate_Handle}@anchor{e20}
32033 @deffn {Function} pyGHDL.libghdl.vhdl.formatters.Allocate_Handle ()
32035 @cartouche
32036 @quotation Todo 
32037 Undocumented in Ada code.
32038 @end quotation
32039 @end cartouche
32041 @*Returns: 
32042 undocumented. Type: @code{Vstring_Acc}
32044 @end deffn
32046 @geindex Get_Length() (in module pyGHDL.libghdl.vhdl.formatters)
32047 @anchor{pyGHDL/pyGHDL libghdl vhdl formatters pyGHDL libghdl vhdl formatters Get_Length}@anchor{e21}
32048 @deffn {Function} pyGHDL.libghdl.vhdl.formatters.Get_Length (Handle)
32050 @cartouche
32051 @quotation Todo 
32052 Undocumented in Ada code.
32053 @end quotation
32054 @end cartouche
32056 @*Parameters: 
32057 @code{Handle} – undocumented. Type: @code{Vstring_Acc}
32060 @*Return type: 
32061 int@footnote{https://docs.python.org/3.6/library/functions.html#int}
32064 @*Returns: 
32065 undocumented.
32067 @end deffn
32069 @geindex Get_C_String() (in module pyGHDL.libghdl.vhdl.formatters)
32070 @anchor{pyGHDL/pyGHDL libghdl vhdl formatters pyGHDL libghdl vhdl formatters Get_C_String}@anchor{e22}
32071 @deffn {Function} pyGHDL.libghdl.vhdl.formatters.Get_C_String (Handle)
32073 @cartouche
32074 @quotation Todo 
32075 Undocumented in Ada code.
32076 @end quotation
32077 @end cartouche
32079 @*Parameters: 
32080 @code{Handle} – undocumented. Type: @code{Vstring_Acc}
32083 @*Returns: 
32084 Type: @code{Grt.Types.Ghdl_C_String}
32086 @end deffn
32088 @geindex Free_Handle() (in module pyGHDL.libghdl.vhdl.formatters)
32089 @anchor{pyGHDL/pyGHDL libghdl vhdl formatters pyGHDL libghdl vhdl formatters Free_Handle}@anchor{e23}
32090 @deffn {Function} pyGHDL.libghdl.vhdl.formatters.Free_Handle (Handle)
32092 @cartouche
32093 @quotation Todo 
32094 Undocumented in Ada code.
32095 @end quotation
32096 @end cartouche
32098 @*Parameters: 
32099 @code{Handle} – undocumented. Type: @code{Vstring_Acc}
32102 @*Return type: 
32103 None@footnote{https://docs.python.org/3.6/library/constants.html#None}
32105 @end deffn
32107 @c # Load pre-defined aliases and graphical characters like © from docutils
32108 @c # <file> is used to denote the special path
32109 @c # <Python>\Lib\site-packages\docutils\parsers\rst\include
32111 @c This data file has been placed in the public domain.
32113 @c Derived from the Unicode character mappings available from
32114 @c <http://www.w3.org/2003/entities/xml/>.
32115 @c Processed by unicode2rstsubs.py, part of Docutils:
32116 @c <http://docutils.sourceforge.net>.
32118 @c This data file has been placed in the public domain.
32120 @c Derived from the Unicode character mappings available from
32121 @c <http://www.w3.org/2003/entities/xml/>.
32122 @c Processed by unicode2rstsubs.py, part of Docutils:
32123 @c <http://docutils.sourceforge.net>.
32125 @c # define a hard line break for HTML
32127 @node pyGHDL libghdl vhdl ieee,pyGHDL libghdl vhdl lists,pyGHDL libghdl vhdl formatters,pyGHDL libghdl vhdl
32128 @anchor{pyGHDL/pyGHDL libghdl vhdl ieee doc}@anchor{e24}@anchor{pyGHDL/pyGHDL libghdl vhdl ieee module-pyGHDL libghdl vhdl ieee}@anchor{2d}@anchor{pyGHDL/pyGHDL libghdl vhdl ieee pyghdl-libghdl-vhdl-ieee}@anchor{e25}
32129 @subsubsection pyGHDL.libghdl.vhdl.ieee
32132 @geindex module; pyGHDL.libghdl.vhdl.ieee
32134 @c #-----------------------------------
32136 @strong{Variables}
32139 @itemize -
32141 @item 
32142 @ref{e26,,Std_Logic_1164_Pkg}
32144 @item 
32145 @ref{e27,,Std_Logic_Type}
32147 @item 
32148 @ref{e28,,Std_Logic_Vector_Type}
32149 @end itemize
32151 @geindex Std_Logic_1164_Pkg (in module pyGHDL.libghdl.vhdl.ieee)
32152 @anchor{pyGHDL/pyGHDL libghdl vhdl ieee pyGHDL libghdl vhdl ieee Std_Logic_1164_Pkg}@anchor{e26}
32153 @deffn {Data} pyGHDL.libghdl.vhdl.ieee.Std_Logic_1164_Pkg
32155 @example
32156 c_int(0)
32157 @end example
32158 @end deffn
32160 @geindex Std_Logic_Type (in module pyGHDL.libghdl.vhdl.ieee)
32161 @anchor{pyGHDL/pyGHDL libghdl vhdl ieee pyGHDL libghdl vhdl ieee Std_Logic_Type}@anchor{e27}
32162 @deffn {Data} pyGHDL.libghdl.vhdl.ieee.Std_Logic_Type
32164 @example
32165 c_int(0)
32166 @end example
32167 @end deffn
32169 @geindex Std_Logic_Vector_Type (in module pyGHDL.libghdl.vhdl.ieee)
32170 @anchor{pyGHDL/pyGHDL libghdl vhdl ieee pyGHDL libghdl vhdl ieee Std_Logic_Vector_Type}@anchor{e28}
32171 @deffn {Data} pyGHDL.libghdl.vhdl.ieee.Std_Logic_Vector_Type
32173 @example
32174 c_int(0)
32175 @end example
32176 @end deffn
32178 @c # Load pre-defined aliases and graphical characters like © from docutils
32179 @c # <file> is used to denote the special path
32180 @c # <Python>\Lib\site-packages\docutils\parsers\rst\include
32182 @c This data file has been placed in the public domain.
32184 @c Derived from the Unicode character mappings available from
32185 @c <http://www.w3.org/2003/entities/xml/>.
32186 @c Processed by unicode2rstsubs.py, part of Docutils:
32187 @c <http://docutils.sourceforge.net>.
32189 @c This data file has been placed in the public domain.
32191 @c Derived from the Unicode character mappings available from
32192 @c <http://www.w3.org/2003/entities/xml/>.
32193 @c Processed by unicode2rstsubs.py, part of Docutils:
32194 @c <http://docutils.sourceforge.net>.
32196 @c # define a hard line break for HTML
32198 @node pyGHDL libghdl vhdl lists,pyGHDL libghdl vhdl nodes,pyGHDL libghdl vhdl ieee,pyGHDL libghdl vhdl
32199 @anchor{pyGHDL/pyGHDL libghdl vhdl lists doc}@anchor{e29}@anchor{pyGHDL/pyGHDL libghdl vhdl lists module-pyGHDL libghdl vhdl lists}@anchor{2e}@anchor{pyGHDL/pyGHDL libghdl vhdl lists pyghdl-libghdl-vhdl-lists}@anchor{e2a}
32200 @subsubsection pyGHDL.libghdl.vhdl.lists
32203 @geindex module; pyGHDL.libghdl.vhdl.lists
32205 @c #-----------------------------------
32207 @strong{Classes}
32210 @itemize -
32212 @item 
32213 @ref{e2b,,Iterator}:
32214 Structure base class
32215 @end itemize
32217 @strong{Functions}
32220 @itemize -
32222 @item 
32223 @ref{e2c,,Iterate()}:
32224 Create an iterator for a given list.
32226 @item 
32227 @ref{e2d,,Is_Valid()}:
32228 Check if iterator reached the end.
32230 @item 
32231 @ref{e2e,,Next()}:
32232 Move iterator to the next element.
32234 @item 
32235 @ref{e2f,,Get_Element()}:
32236 Get the current element from iterator.
32238 @item 
32239 @ref{e30,,Get_Nbr_Elements()}:
32240 Return the number of elements in the list.
32242 @item 
32243 @ref{e31,,Create_Iir_List()}:
32244 Create a list.
32246 @item 
32247 @ref{e32,,Destroy_Iir_List()}:
32248 Destroy a list.
32249 @end itemize
32251 @c #-----------------------------------
32253 @geindex Iterator (class in pyGHDL.libghdl.vhdl.lists)
32254 @anchor{pyGHDL/pyGHDL libghdl vhdl lists pyGHDL libghdl vhdl lists Iterator}@anchor{e2b}
32255 @deffn {Class} pyGHDL.libghdl.vhdl.lists.Iterator
32257 @subsubheading Inheritance
32259 @image{inheritance-09d94610fb1b7bb222ec2d8c9ba69f958a6e2158,,,[graphviz],png}
32261 @subsubheading Members
32264 @geindex _fields_ (pyGHDL.libghdl.vhdl.lists.Iterator attribute)
32265 @anchor{pyGHDL/pyGHDL libghdl vhdl lists pyGHDL libghdl vhdl lists Iterator _fields_}@anchor{e33}
32266 @deffn {Attribute} _fields_  =  [('chunk', <class 'ctypes.c_int'>), ('chunk_idx', <class 'ctypes.c_int'>), ('remain', <class 'ctypes.c_int'>)]
32267 @end deffn
32269 @geindex _b_base_ (pyGHDL.libghdl.vhdl.lists.Iterator attribute)
32270 @anchor{pyGHDL/pyGHDL libghdl vhdl lists pyGHDL libghdl vhdl lists Iterator _b_base_}@anchor{e34}
32271 @deffn {Attribute} _b_base_
32273 the base object
32274 @end deffn
32276 @geindex _b_needsfree_ (pyGHDL.libghdl.vhdl.lists.Iterator attribute)
32277 @anchor{pyGHDL/pyGHDL libghdl vhdl lists pyGHDL libghdl vhdl lists Iterator _b_needsfree_}@anchor{e35}
32278 @deffn {Attribute} _b_needsfree_
32280 whether the object owns the memory or not
32281 @end deffn
32283 @geindex _objects (pyGHDL.libghdl.vhdl.lists.Iterator attribute)
32284 @anchor{pyGHDL/pyGHDL libghdl vhdl lists pyGHDL libghdl vhdl lists Iterator _objects}@anchor{e36}
32285 @deffn {Attribute} _objects
32287 internal objects tree (NEVER CHANGE THIS OBJECT!)
32288 @end deffn
32290 @geindex chunk (pyGHDL.libghdl.vhdl.lists.Iterator attribute)
32291 @anchor{pyGHDL/pyGHDL libghdl vhdl lists pyGHDL libghdl vhdl lists Iterator chunk}@anchor{e37}
32292 @deffn {Attribute} chunk
32294 Structure/Union member
32295 @end deffn
32297 @geindex chunk_idx (pyGHDL.libghdl.vhdl.lists.Iterator attribute)
32298 @anchor{pyGHDL/pyGHDL libghdl vhdl lists pyGHDL libghdl vhdl lists Iterator chunk_idx}@anchor{e38}
32299 @deffn {Attribute} chunk_idx
32301 Structure/Union member
32302 @end deffn
32304 @geindex remain (pyGHDL.libghdl.vhdl.lists.Iterator attribute)
32305 @anchor{pyGHDL/pyGHDL libghdl vhdl lists pyGHDL libghdl vhdl lists Iterator remain}@anchor{e39}
32306 @deffn {Attribute} remain
32308 Structure/Union member
32309 @end deffn
32310 @end deffn
32312 @c #-----------------------------------
32314 @strong{Functions}
32316 @geindex Iterate() (in module pyGHDL.libghdl.vhdl.lists)
32317 @anchor{pyGHDL/pyGHDL libghdl vhdl lists pyGHDL libghdl vhdl lists Iterate}@anchor{e2c}
32318 @deffn {Function} pyGHDL.libghdl.vhdl.lists.Iterate (List)
32320 Create an iterator for a given list.
32322 The idiomatic way to iterate is:
32324 @example
32325 It = Iterate(List)
32326 while Is_Valid(It):
32327   El = Get_Element(It)
32328     # ...
32329   Next(It)
32330 @end example
32332 @*Parameters: 
32333 @code{List} (int@footnote{https://docs.python.org/3.6/library/functions.html#int}) – List to create an iterator from.
32336 @*Return type: 
32337 @ref{e2b,,Iterator}
32340 @*Returns: 
32341 Iterator structure.
32343 @end deffn
32345 @geindex Is_Valid() (in module pyGHDL.libghdl.vhdl.lists)
32346 @anchor{pyGHDL/pyGHDL libghdl vhdl lists pyGHDL libghdl vhdl lists Is_Valid}@anchor{e2d}
32347 @deffn {Function} pyGHDL.libghdl.vhdl.lists.Is_Valid (it)
32349 Check if iterator reached the end.
32351 @*Parameters: 
32352 @code{it} (@ref{e2b,,Iterator}) – Iterator to check.
32355 @*Return type: 
32356 bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
32359 @*Returns: 
32360 @code{False}, if iterator has reached the end.
32362 @end deffn
32364 @geindex Next() (in module pyGHDL.libghdl.vhdl.lists)
32365 @anchor{pyGHDL/pyGHDL libghdl vhdl lists pyGHDL libghdl vhdl lists Next}@anchor{e2e}
32366 @deffn {Function} pyGHDL.libghdl.vhdl.lists.Next (it)
32368 Move iterator to the next element.
32370 @*Parameters: 
32371 @code{it} (@ref{e2b,,Iterator}) – Iterator to increment.
32374 @*Return type: 
32375 bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
32378 @*Returns: 
32379 @code{False}, if iterator has reached the end.
32381 @end deffn
32383 @geindex Get_Element() (in module pyGHDL.libghdl.vhdl.lists)
32384 @anchor{pyGHDL/pyGHDL libghdl vhdl lists pyGHDL libghdl vhdl lists Get_Element}@anchor{e2f}
32385 @deffn {Function} pyGHDL.libghdl.vhdl.lists.Get_Element (it)
32387 Get the current element from iterator.
32389 @*Parameters: 
32390 @code{it} (@ref{e2b,,Iterator}) – Iterator the get the element from.
32393 @*Return type: 
32394 int@footnote{https://docs.python.org/3.6/library/functions.html#int}
32397 @*Returns: 
32398 The current element the iterator points to. Type: @code{El_Type}
32400 @end deffn
32402 @geindex Get_Nbr_Elements() (in module pyGHDL.libghdl.vhdl.lists)
32403 @anchor{pyGHDL/pyGHDL libghdl vhdl lists pyGHDL libghdl vhdl lists Get_Nbr_Elements}@anchor{e30}
32404 @deffn {Function} pyGHDL.libghdl.vhdl.lists.Get_Nbr_Elements (List)
32406 Return the number of elements in the list.
32408 @cartouche
32409 @quotation Hint 
32410 This is also 1 + the position of the last element.
32411 @end quotation
32412 @end cartouche
32414 @*Parameters: 
32415 @code{List} (int@footnote{https://docs.python.org/3.6/library/functions.html#int}) – The list to use.
32418 @*Return type: 
32419 int@footnote{https://docs.python.org/3.6/library/functions.html#int}
32422 @*Returns: 
32423 Number of list elements.
32425 @end deffn
32427 @geindex Create_Iir_List() (in module pyGHDL.libghdl.vhdl.lists)
32428 @anchor{pyGHDL/pyGHDL libghdl vhdl lists pyGHDL libghdl vhdl lists Create_Iir_List}@anchor{e31}
32429 @deffn {Function} pyGHDL.libghdl.vhdl.lists.Create_Iir_List ()
32431 Create a list.
32433 @*Return type: 
32434 int@footnote{https://docs.python.org/3.6/library/functions.html#int}
32437 @*Returns: 
32438 undocumented; Type: @code{List_Type}
32440 @end deffn
32442 @geindex Destroy_Iir_List() (in module pyGHDL.libghdl.vhdl.lists)
32443 @anchor{pyGHDL/pyGHDL libghdl vhdl lists pyGHDL libghdl vhdl lists Destroy_Iir_List}@anchor{e32}
32444 @deffn {Function} pyGHDL.libghdl.vhdl.lists.Destroy_Iir_List (List)
32446 Destroy a list.
32448 @*Parameters: 
32449 @code{List} (int@footnote{https://docs.python.org/3.6/library/functions.html#int}) – List to destroy.
32452 @*Return type: 
32453 None@footnote{https://docs.python.org/3.6/library/constants.html#None}
32455 @end deffn
32457 @c # Load pre-defined aliases and graphical characters like © from docutils
32458 @c # <file> is used to denote the special path
32459 @c # <Python>\Lib\site-packages\docutils\parsers\rst\include
32461 @c This data file has been placed in the public domain.
32463 @c Derived from the Unicode character mappings available from
32464 @c <http://www.w3.org/2003/entities/xml/>.
32465 @c Processed by unicode2rstsubs.py, part of Docutils:
32466 @c <http://docutils.sourceforge.net>.
32468 @c This data file has been placed in the public domain.
32470 @c Derived from the Unicode character mappings available from
32471 @c <http://www.w3.org/2003/entities/xml/>.
32472 @c Processed by unicode2rstsubs.py, part of Docutils:
32473 @c <http://docutils.sourceforge.net>.
32475 @c # define a hard line break for HTML
32477 @node pyGHDL libghdl vhdl nodes,pyGHDL libghdl vhdl nodes_meta,pyGHDL libghdl vhdl lists,pyGHDL libghdl vhdl
32478 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes doc}@anchor{e3a}@anchor{pyGHDL/pyGHDL libghdl vhdl nodes module-pyGHDL libghdl vhdl nodes}@anchor{2f}@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyghdl-libghdl-vhdl-nodes}@anchor{e3b}
32479 @subsubsection pyGHDL.libghdl.vhdl.nodes
32482 @geindex module; pyGHDL.libghdl.vhdl.nodes
32484 @c #-----------------------------------
32486 @strong{Classes}
32489 @itemize -
32491 @item 
32492 @ref{9ee,,Iir_Kind}:
32493 An enumeration.
32495 @item 
32496 @ref{e3c,,Iir_Kinds}:
32497 Undocumented.
32499 @item 
32500 @ref{e3d,,Iir_Mode}:
32501 An enumeration.
32503 @item 
32504 @ref{e3e,,ScalarSize}:
32505 An enumeration.
32507 @item 
32508 @ref{e3f,,Iir_Staticness}:
32509 An enumeration.
32511 @item 
32512 @ref{e40,,Iir_Constraint}:
32513 An enumeration.
32515 @item 
32516 @ref{e41,,Iir_Delay_Mechanism}:
32517 An enumeration.
32519 @item 
32520 @ref{e42,,DateStateType}:
32521 An enumeration.
32523 @item 
32524 @ref{e43,,NumberBaseType}:
32525 An enumeration.
32527 @item 
32528 @ref{e44,,Iir_Predefined}:
32529 An enumeration.
32530 @end itemize
32532 @strong{Functions}
32535 @itemize -
32537 @item 
32538 @ref{e45,,Get_Kind()}:
32539 Get node kind.
32541 @item 
32542 @ref{e46,,Get_Location()}:
32544 @item 
32545 @ref{e47,,Get_First_Design_Unit()}:
32547 @item 
32548 @ref{e48,,Set_First_Design_Unit()}:
32550 @item 
32551 @ref{e49,,Get_Last_Design_Unit()}:
32553 @item 
32554 @ref{e4a,,Set_Last_Design_Unit()}:
32556 @item 
32557 @ref{e4b,,Get_Library_Declaration()}:
32559 @item 
32560 @ref{e4c,,Set_Library_Declaration()}:
32562 @item 
32563 @ref{e4d,,Get_File_Checksum()}:
32565 @item 
32566 @ref{e4e,,Set_File_Checksum()}:
32568 @item 
32569 @ref{e4f,,Get_Analysis_Time_Stamp()}:
32571 @item 
32572 @ref{e50,,Set_Analysis_Time_Stamp()}:
32574 @item 
32575 @ref{e51,,Get_Design_File_Source()}:
32577 @item 
32578 @ref{e52,,Set_Design_File_Source()}:
32580 @item 
32581 @ref{e53,,Get_Library()}:
32583 @item 
32584 @ref{e54,,Set_Library()}:
32586 @item 
32587 @ref{e55,,Get_File_Dependence_List()}:
32589 @item 
32590 @ref{e56,,Set_File_Dependence_List()}:
32592 @item 
32593 @ref{e57,,Get_Design_File_Filename()}:
32595 @item 
32596 @ref{e58,,Set_Design_File_Filename()}:
32598 @item 
32599 @ref{e59,,Get_Design_File_Directory()}:
32601 @item 
32602 @ref{e5a,,Set_Design_File_Directory()}:
32604 @item 
32605 @ref{e5b,,Get_Design_File()}:
32607 @item 
32608 @ref{e5c,,Set_Design_File()}:
32610 @item 
32611 @ref{e5d,,Get_Design_File_Chain()}:
32613 @item 
32614 @ref{e5e,,Set_Design_File_Chain()}:
32616 @item 
32617 @ref{e5f,,Get_Library_Directory()}:
32619 @item 
32620 @ref{e60,,Set_Library_Directory()}:
32622 @item 
32623 @ref{e61,,Get_Date()}:
32625 @item 
32626 @ref{e62,,Set_Date()}:
32628 @item 
32629 @ref{e63,,Get_Context_Items()}:
32631 @item 
32632 @ref{e64,,Set_Context_Items()}:
32634 @item 
32635 @ref{e65,,Get_Dependence_List()}:
32637 @item 
32638 @ref{e66,,Set_Dependence_List()}:
32640 @item 
32641 @ref{e67,,Get_Analysis_Checks_List()}:
32643 @item 
32644 @ref{e68,,Set_Analysis_Checks_List()}:
32646 @item 
32647 @ref{e69,,Get_Date_State()}:
32649 @item 
32650 @ref{e6a,,Set_Date_State()}:
32652 @item 
32653 @ref{e6b,,Get_Guarded_Target_State()}:
32655 @item 
32656 @ref{e6c,,Set_Guarded_Target_State()}:
32658 @item 
32659 @ref{e6d,,Get_Library_Unit()}:
32661 @item 
32662 @ref{e6e,,Set_Library_Unit()}:
32664 @item 
32665 @ref{e6f,,Get_Hash_Chain()}:
32667 @item 
32668 @ref{e70,,Set_Hash_Chain()}:
32670 @item 
32671 @ref{e71,,Get_Design_Unit_Source_Pos()}:
32673 @item 
32674 @ref{e72,,Set_Design_Unit_Source_Pos()}:
32676 @item 
32677 @ref{e73,,Get_Design_Unit_Source_Line()}:
32679 @item 
32680 @ref{e74,,Set_Design_Unit_Source_Line()}:
32682 @item 
32683 @ref{e75,,Get_Design_Unit_Source_Col()}:
32685 @item 
32686 @ref{e76,,Set_Design_Unit_Source_Col()}:
32688 @item 
32689 @ref{e77,,Get_Value()}:
32691 @item 
32692 @ref{e78,,Set_Value()}:
32694 @item 
32695 @ref{e79,,Get_Enum_Pos()}:
32697 @item 
32698 @ref{e7a,,Set_Enum_Pos()}:
32700 @item 
32701 @ref{e7b,,Get_Physical_Literal()}:
32703 @item 
32704 @ref{e7c,,Set_Physical_Literal()}:
32706 @item 
32707 @ref{e7d,,Get_Fp_Value()}:
32709 @item 
32710 @ref{e7e,,Set_Fp_Value()}:
32712 @item 
32713 @ref{e7f,,Get_Simple_Aggregate_List()}:
32715 @item 
32716 @ref{e80,,Set_Simple_Aggregate_List()}:
32718 @item 
32719 @ref{e81,,Get_String8_Id()}:
32721 @item 
32722 @ref{e82,,Set_String8_Id()}:
32724 @item 
32725 @ref{e83,,Get_String_Length()}:
32727 @item 
32728 @ref{e84,,Set_String_Length()}:
32730 @item 
32731 @ref{e85,,Get_Bit_String_Base()}:
32733 @item 
32734 @ref{e86,,Set_Bit_String_Base()}:
32736 @item 
32737 @ref{e87,,Get_Has_Signed()}:
32739 @item 
32740 @ref{e88,,Set_Has_Signed()}:
32742 @item 
32743 @ref{e89,,Get_Has_Sign()}:
32745 @item 
32746 @ref{e8a,,Set_Has_Sign()}:
32748 @item 
32749 @ref{e8b,,Get_Has_Length()}:
32751 @item 
32752 @ref{e8c,,Set_Has_Length()}:
32754 @item 
32755 @ref{e8d,,Get_Literal_Length()}:
32757 @item 
32758 @ref{e8e,,Set_Literal_Length()}:
32760 @item 
32761 @ref{e8f,,Get_Literal_Origin()}:
32763 @item 
32764 @ref{e90,,Set_Literal_Origin()}:
32766 @item 
32767 @ref{e91,,Get_Range_Origin()}:
32769 @item 
32770 @ref{e92,,Set_Range_Origin()}:
32772 @item 
32773 @ref{e93,,Get_Literal_Subtype()}:
32775 @item 
32776 @ref{e94,,Set_Literal_Subtype()}:
32778 @item 
32779 @ref{e95,,Get_Allocator_Subtype()}:
32781 @item 
32782 @ref{e96,,Set_Allocator_Subtype()}:
32784 @item 
32785 @ref{e97,,Get_Entity_Class()}:
32787 @item 
32788 @ref{e98,,Set_Entity_Class()}:
32790 @item 
32791 @ref{e99,,Get_Entity_Name_List()}:
32793 @item 
32794 @ref{e9a,,Set_Entity_Name_List()}:
32796 @item 
32797 @ref{e9b,,Get_Attribute_Designator()}:
32799 @item 
32800 @ref{e9c,,Set_Attribute_Designator()}:
32802 @item 
32803 @ref{e9d,,Get_Attribute_Specification_Chain()}:
32805 @item 
32806 @ref{e9e,,Set_Attribute_Specification_Chain()}:
32808 @item 
32809 @ref{e9f,,Get_Attribute_Specification()}:
32811 @item 
32812 @ref{ea0,,Set_Attribute_Specification()}:
32814 @item 
32815 @ref{ea1,,Get_Static_Attribute_Flag()}:
32817 @item 
32818 @ref{ea2,,Set_Static_Attribute_Flag()}:
32820 @item 
32821 @ref{ea3,,Get_Signal_List()}:
32823 @item 
32824 @ref{ea4,,Set_Signal_List()}:
32826 @item 
32827 @ref{ea5,,Get_Quantity_List()}:
32829 @item 
32830 @ref{ea6,,Set_Quantity_List()}:
32832 @item 
32833 @ref{ea7,,Get_Designated_Entity()}:
32835 @item 
32836 @ref{ea8,,Set_Designated_Entity()}:
32838 @item 
32839 @ref{ea9,,Get_Formal()}:
32841 @item 
32842 @ref{eaa,,Set_Formal()}:
32844 @item 
32845 @ref{eab,,Get_Actual()}:
32847 @item 
32848 @ref{eac,,Set_Actual()}:
32850 @item 
32851 @ref{ead,,Get_Actual_Conversion()}:
32853 @item 
32854 @ref{eae,,Set_Actual_Conversion()}:
32856 @item 
32857 @ref{eaf,,Get_Formal_Conversion()}:
32859 @item 
32860 @ref{eb0,,Set_Formal_Conversion()}:
32862 @item 
32863 @ref{eb1,,Get_Whole_Association_Flag()}:
32865 @item 
32866 @ref{eb2,,Set_Whole_Association_Flag()}:
32868 @item 
32869 @ref{eb3,,Get_Collapse_Signal_Flag()}:
32871 @item 
32872 @ref{eb4,,Set_Collapse_Signal_Flag()}:
32874 @item 
32875 @ref{eb5,,Get_Artificial_Flag()}:
32877 @item 
32878 @ref{eb6,,Set_Artificial_Flag()}:
32880 @item 
32881 @ref{eb7,,Get_Open_Flag()}:
32883 @item 
32884 @ref{eb8,,Set_Open_Flag()}:
32886 @item 
32887 @ref{eb9,,Get_After_Drivers_Flag()}:
32889 @item 
32890 @ref{eba,,Set_After_Drivers_Flag()}:
32892 @item 
32893 @ref{ebb,,Get_We_Value()}:
32895 @item 
32896 @ref{ebc,,Set_We_Value()}:
32898 @item 
32899 @ref{ebd,,Get_Time()}:
32901 @item 
32902 @ref{ebe,,Set_Time()}:
32904 @item 
32905 @ref{ebf,,Get_Associated_Expr()}:
32907 @item 
32908 @ref{ec0,,Set_Associated_Expr()}:
32910 @item 
32911 @ref{ec1,,Get_Associated_Block()}:
32913 @item 
32914 @ref{ec2,,Set_Associated_Block()}:
32916 @item 
32917 @ref{ec3,,Get_Associated_Chain()}:
32919 @item 
32920 @ref{ec4,,Set_Associated_Chain()}:
32922 @item 
32923 @ref{ec5,,Get_Choice_Name()}:
32925 @item 
32926 @ref{ec6,,Set_Choice_Name()}:
32928 @item 
32929 @ref{ec7,,Get_Choice_Expression()}:
32931 @item 
32932 @ref{ec8,,Set_Choice_Expression()}:
32934 @item 
32935 @ref{ec9,,Get_Choice_Range()}:
32937 @item 
32938 @ref{eca,,Set_Choice_Range()}:
32940 @item 
32941 @ref{ecb,,Get_Same_Alternative_Flag()}:
32943 @item 
32944 @ref{ecc,,Set_Same_Alternative_Flag()}:
32946 @item 
32947 @ref{ecd,,Get_Element_Type_Flag()}:
32949 @item 
32950 @ref{ece,,Set_Element_Type_Flag()}:
32952 @item 
32953 @ref{ecf,,Get_Architecture()}:
32955 @item 
32956 @ref{ed0,,Set_Architecture()}:
32958 @item 
32959 @ref{ed1,,Get_Block_Specification()}:
32961 @item 
32962 @ref{ed2,,Set_Block_Specification()}:
32964 @item 
32965 @ref{ed3,,Get_Prev_Block_Configuration()}:
32967 @item 
32968 @ref{ed4,,Set_Prev_Block_Configuration()}:
32970 @item 
32971 @ref{ed5,,Get_Configuration_Item_Chain()}:
32973 @item 
32974 @ref{ed6,,Set_Configuration_Item_Chain()}:
32976 @item 
32977 @ref{ed7,,Get_Attribute_Value_Chain()}:
32979 @item 
32980 @ref{ed8,,Set_Attribute_Value_Chain()}:
32982 @item 
32983 @ref{ed9,,Get_Spec_Chain()}:
32985 @item 
32986 @ref{eda,,Set_Spec_Chain()}:
32988 @item 
32989 @ref{edb,,Get_Value_Chain()}:
32991 @item 
32992 @ref{edc,,Set_Value_Chain()}:
32994 @item 
32995 @ref{edd,,Get_Attribute_Value_Spec_Chain()}:
32997 @item 
32998 @ref{ede,,Set_Attribute_Value_Spec_Chain()}:
33000 @item 
33001 @ref{edf,,Get_Entity_Name()}:
33003 @item 
33004 @ref{ee0,,Set_Entity_Name()}:
33006 @item 
33007 @ref{ee1,,Get_Package()}:
33009 @item 
33010 @ref{ee2,,Set_Package()}:
33012 @item 
33013 @ref{ee3,,Get_Package_Body()}:
33015 @item 
33016 @ref{ee4,,Set_Package_Body()}:
33018 @item 
33019 @ref{ee5,,Get_Instance_Package_Body()}:
33021 @item 
33022 @ref{ee6,,Set_Instance_Package_Body()}:
33024 @item 
33025 @ref{ee7,,Get_Need_Body()}:
33027 @item 
33028 @ref{ee8,,Set_Need_Body()}:
33030 @item 
33031 @ref{ee9,,Get_Macro_Expanded_Flag()}:
33033 @item 
33034 @ref{eea,,Set_Macro_Expanded_Flag()}:
33036 @item 
33037 @ref{eeb,,Get_Need_Instance_Bodies()}:
33039 @item 
33040 @ref{eec,,Set_Need_Instance_Bodies()}:
33042 @item 
33043 @ref{eed,,Get_Hierarchical_Name()}:
33045 @item 
33046 @ref{eee,,Set_Hierarchical_Name()}:
33048 @item 
33049 @ref{eef,,Get_Vunit_Item_Chain()}:
33051 @item 
33052 @ref{ef0,,Set_Vunit_Item_Chain()}:
33054 @item 
33055 @ref{ef1,,Get_Bound_Vunit_Chain()}:
33057 @item 
33058 @ref{ef2,,Set_Bound_Vunit_Chain()}:
33060 @item 
33061 @ref{ef3,,Get_Verification_Block_Configuration()}:
33063 @item 
33064 @ref{ef4,,Set_Verification_Block_Configuration()}:
33066 @item 
33067 @ref{ef5,,Get_Block_Configuration()}:
33069 @item 
33070 @ref{ef6,,Set_Block_Configuration()}:
33072 @item 
33073 @ref{ef7,,Get_Concurrent_Statement_Chain()}:
33075 @item 
33076 @ref{ef8,,Set_Concurrent_Statement_Chain()}:
33078 @item 
33079 @ref{ef9,,Get_Chain()}:
33081 @item 
33082 @ref{efa,,Set_Chain()}:
33084 @item 
33085 @ref{efb,,Get_Port_Chain()}:
33087 @item 
33088 @ref{efc,,Set_Port_Chain()}:
33090 @item 
33091 @ref{efd,,Get_Generic_Chain()}:
33093 @item 
33094 @ref{efe,,Set_Generic_Chain()}:
33096 @item 
33097 @ref{eff,,Get_Type()}:
33099 @item 
33100 @ref{f00,,Set_Type()}:
33102 @item 
33103 @ref{f01,,Get_Subtype_Indication()}:
33105 @item 
33106 @ref{f02,,Set_Subtype_Indication()}:
33108 @item 
33109 @ref{f03,,Get_Discrete_Range()}:
33111 @item 
33112 @ref{f04,,Set_Discrete_Range()}:
33114 @item 
33115 @ref{f05,,Get_Type_Definition()}:
33117 @item 
33118 @ref{f06,,Set_Type_Definition()}:
33120 @item 
33121 @ref{f07,,Get_Subtype_Definition()}:
33123 @item 
33124 @ref{f08,,Set_Subtype_Definition()}:
33126 @item 
33127 @ref{f09,,Get_Incomplete_Type_Declaration()}:
33129 @item 
33130 @ref{f0a,,Set_Incomplete_Type_Declaration()}:
33132 @item 
33133 @ref{f0b,,Get_Interface_Type_Subprograms()}:
33135 @item 
33136 @ref{f0c,,Set_Interface_Type_Subprograms()}:
33138 @item 
33139 @ref{f0d,,Get_Nature_Definition()}:
33141 @item 
33142 @ref{f0e,,Set_Nature_Definition()}:
33144 @item 
33145 @ref{f0f,,Get_Nature()}:
33147 @item 
33148 @ref{f10,,Set_Nature()}:
33150 @item 
33151 @ref{f11,,Get_Subnature_Indication()}:
33153 @item 
33154 @ref{f12,,Set_Subnature_Indication()}:
33156 @item 
33157 @ref{f13,,Get_Mode()}:
33159 @item 
33160 @ref{f14,,Set_Mode()}:
33162 @item 
33163 @ref{f15,,Get_Guarded_Signal_Flag()}:
33165 @item 
33166 @ref{f16,,Set_Guarded_Signal_Flag()}:
33168 @item 
33169 @ref{f17,,Get_Signal_Kind()}:
33171 @item 
33172 @ref{f18,,Set_Signal_Kind()}:
33174 @item 
33175 @ref{f19,,Get_Base_Name()}:
33177 @item 
33178 @ref{f1a,,Set_Base_Name()}:
33180 @item 
33181 @ref{f1b,,Get_Interface_Declaration_Chain()}:
33183 @item 
33184 @ref{f1c,,Set_Interface_Declaration_Chain()}:
33186 @item 
33187 @ref{f1d,,Get_Subprogram_Specification()}:
33189 @item 
33190 @ref{f1e,,Set_Subprogram_Specification()}:
33192 @item 
33193 @ref{f1f,,Get_Sequential_Statement_Chain()}:
33195 @item 
33196 @ref{f20,,Set_Sequential_Statement_Chain()}:
33198 @item 
33199 @ref{f21,,Get_Simultaneous_Statement_Chain()}:
33201 @item 
33202 @ref{f22,,Set_Simultaneous_Statement_Chain()}:
33204 @item 
33205 @ref{f23,,Get_Subprogram_Body()}:
33207 @item 
33208 @ref{f24,,Set_Subprogram_Body()}:
33210 @item 
33211 @ref{f25,,Get_Overload_Number()}:
33213 @item 
33214 @ref{f26,,Set_Overload_Number()}:
33216 @item 
33217 @ref{f27,,Get_Subprogram_Depth()}:
33219 @item 
33220 @ref{f28,,Set_Subprogram_Depth()}:
33222 @item 
33223 @ref{f29,,Get_Subprogram_Hash()}:
33225 @item 
33226 @ref{f2a,,Set_Subprogram_Hash()}:
33228 @item 
33229 @ref{f2b,,Get_Impure_Depth()}:
33231 @item 
33232 @ref{f2c,,Set_Impure_Depth()}:
33234 @item 
33235 @ref{f2d,,Get_Return_Type()}:
33237 @item 
33238 @ref{f2e,,Set_Return_Type()}:
33240 @item 
33241 @ref{f2f,,Get_Implicit_Definition()}:
33243 @item 
33244 @ref{f30,,Set_Implicit_Definition()}:
33246 @item 
33247 @ref{f31,,Get_Uninstantiated_Subprogram_Name()}:
33249 @item 
33250 @ref{f32,,Set_Uninstantiated_Subprogram_Name()}:
33252 @item 
33253 @ref{f33,,Get_Default_Value()}:
33255 @item 
33256 @ref{f34,,Set_Default_Value()}:
33258 @item 
33259 @ref{f35,,Get_Deferred_Declaration()}:
33261 @item 
33262 @ref{f36,,Set_Deferred_Declaration()}:
33264 @item 
33265 @ref{f37,,Get_Deferred_Declaration_Flag()}:
33267 @item 
33268 @ref{f38,,Set_Deferred_Declaration_Flag()}:
33270 @item 
33271 @ref{f39,,Get_Shared_Flag()}:
33273 @item 
33274 @ref{f3a,,Set_Shared_Flag()}:
33276 @item 
33277 @ref{f3b,,Get_Design_Unit()}:
33279 @item 
33280 @ref{f3c,,Set_Design_Unit()}:
33282 @item 
33283 @ref{f3d,,Get_Block_Statement()}:
33285 @item 
33286 @ref{f3e,,Set_Block_Statement()}:
33288 @item 
33289 @ref{f3f,,Get_Signal_Driver()}:
33291 @item 
33292 @ref{f40,,Set_Signal_Driver()}:
33294 @item 
33295 @ref{f41,,Get_Declaration_Chain()}:
33297 @item 
33298 @ref{f42,,Set_Declaration_Chain()}:
33300 @item 
33301 @ref{f43,,Get_File_Logical_Name()}:
33303 @item 
33304 @ref{f44,,Set_File_Logical_Name()}:
33306 @item 
33307 @ref{f45,,Get_File_Open_Kind()}:
33309 @item 
33310 @ref{f46,,Set_File_Open_Kind()}:
33312 @item 
33313 @ref{f47,,Get_Element_Position()}:
33315 @item 
33316 @ref{f48,,Set_Element_Position()}:
33318 @item 
33319 @ref{f49,,Get_Use_Clause_Chain()}:
33321 @item 
33322 @ref{f4a,,Set_Use_Clause_Chain()}:
33324 @item 
33325 @ref{f4b,,Get_Context_Reference_Chain()}:
33327 @item 
33328 @ref{f4c,,Set_Context_Reference_Chain()}:
33330 @item 
33331 @ref{f4d,,Get_Inherit_Spec_Chain()}:
33333 @item 
33334 @ref{f4e,,Set_Inherit_Spec_Chain()}:
33336 @item 
33337 @ref{f4f,,Get_Selected_Name()}:
33339 @item 
33340 @ref{f50,,Set_Selected_Name()}:
33342 @item 
33343 @ref{f51,,Get_Type_Declarator()}:
33345 @item 
33346 @ref{f52,,Set_Type_Declarator()}:
33348 @item 
33349 @ref{f53,,Get_Complete_Type_Definition()}:
33351 @item 
33352 @ref{f54,,Set_Complete_Type_Definition()}:
33354 @item 
33355 @ref{f55,,Get_Incomplete_Type_Ref_Chain()}:
33357 @item 
33358 @ref{f56,,Set_Incomplete_Type_Ref_Chain()}:
33360 @item 
33361 @ref{f57,,Get_Associated_Type()}:
33363 @item 
33364 @ref{f58,,Set_Associated_Type()}:
33366 @item 
33367 @ref{f59,,Get_Enumeration_Literal_List()}:
33369 @item 
33370 @ref{f5a,,Set_Enumeration_Literal_List()}:
33372 @item 
33373 @ref{f5b,,Get_Entity_Class_Entry_Chain()}:
33375 @item 
33376 @ref{f5c,,Set_Entity_Class_Entry_Chain()}:
33378 @item 
33379 @ref{f5d,,Get_Group_Constituent_List()}:
33381 @item 
33382 @ref{f5e,,Set_Group_Constituent_List()}:
33384 @item 
33385 @ref{f5f,,Get_Unit_Chain()}:
33387 @item 
33388 @ref{f60,,Set_Unit_Chain()}:
33390 @item 
33391 @ref{f61,,Get_Primary_Unit()}:
33393 @item 
33394 @ref{f62,,Set_Primary_Unit()}:
33396 @item 
33397 @ref{f63,,Get_Identifier()}:
33399 @item 
33400 @ref{f64,,Set_Identifier()}:
33402 @item 
33403 @ref{f65,,Get_Label()}:
33405 @item 
33406 @ref{f66,,Set_Label()}:
33408 @item 
33409 @ref{f67,,Get_Visible_Flag()}:
33411 @item 
33412 @ref{f68,,Set_Visible_Flag()}:
33414 @item 
33415 @ref{f69,,Get_Range_Constraint()}:
33417 @item 
33418 @ref{f6a,,Set_Range_Constraint()}:
33420 @item 
33421 @ref{f6b,,Get_Direction()}:
33423 @item 
33424 @ref{f6c,,Set_Direction()}:
33426 @item 
33427 @ref{f6d,,Get_Left_Limit()}:
33429 @item 
33430 @ref{f6e,,Set_Left_Limit()}:
33432 @item 
33433 @ref{f6f,,Get_Right_Limit()}:
33435 @item 
33436 @ref{f70,,Set_Right_Limit()}:
33438 @item 
33439 @ref{f71,,Get_Left_Limit_Expr()}:
33441 @item 
33442 @ref{f72,,Set_Left_Limit_Expr()}:
33444 @item 
33445 @ref{f73,,Get_Right_Limit_Expr()}:
33447 @item 
33448 @ref{f74,,Set_Right_Limit_Expr()}:
33450 @item 
33451 @ref{f75,,Get_Parent_Type()}:
33453 @item 
33454 @ref{f76,,Set_Parent_Type()}:
33456 @item 
33457 @ref{f77,,Get_Simple_Nature()}:
33459 @item 
33460 @ref{f78,,Set_Simple_Nature()}:
33462 @item 
33463 @ref{f79,,Get_Base_Nature()}:
33465 @item 
33466 @ref{f7a,,Set_Base_Nature()}:
33468 @item 
33469 @ref{f7b,,Get_Resolution_Indication()}:
33471 @item 
33472 @ref{f7c,,Set_Resolution_Indication()}:
33474 @item 
33475 @ref{f7d,,Get_Record_Element_Resolution_Chain()}:
33477 @item 
33478 @ref{f7e,,Set_Record_Element_Resolution_Chain()}:
33480 @item 
33481 @ref{f7f,,Get_Tolerance()}:
33483 @item 
33484 @ref{f80,,Set_Tolerance()}:
33486 @item 
33487 @ref{f81,,Get_Plus_Terminal_Name()}:
33489 @item 
33490 @ref{f82,,Set_Plus_Terminal_Name()}:
33492 @item 
33493 @ref{f83,,Get_Minus_Terminal_Name()}:
33495 @item 
33496 @ref{f84,,Set_Minus_Terminal_Name()}:
33498 @item 
33499 @ref{f85,,Get_Plus_Terminal()}:
33501 @item 
33502 @ref{f86,,Set_Plus_Terminal()}:
33504 @item 
33505 @ref{f87,,Get_Minus_Terminal()}:
33507 @item 
33508 @ref{f88,,Set_Minus_Terminal()}:
33510 @item 
33511 @ref{f89,,Get_Magnitude_Expression()}:
33513 @item 
33514 @ref{f8a,,Set_Magnitude_Expression()}:
33516 @item 
33517 @ref{f8b,,Get_Phase_Expression()}:
33519 @item 
33520 @ref{f8c,,Set_Phase_Expression()}:
33522 @item 
33523 @ref{f8d,,Get_Power_Expression()}:
33525 @item 
33526 @ref{f8e,,Set_Power_Expression()}:
33528 @item 
33529 @ref{f8f,,Get_Simultaneous_Left()}:
33531 @item 
33532 @ref{f90,,Set_Simultaneous_Left()}:
33534 @item 
33535 @ref{f91,,Get_Simultaneous_Right()}:
33537 @item 
33538 @ref{f92,,Set_Simultaneous_Right()}:
33540 @item 
33541 @ref{f93,,Get_Text_File_Flag()}:
33543 @item 
33544 @ref{f94,,Set_Text_File_Flag()}:
33546 @item 
33547 @ref{f95,,Get_Only_Characters_Flag()}:
33549 @item 
33550 @ref{f96,,Set_Only_Characters_Flag()}:
33552 @item 
33553 @ref{f97,,Get_Is_Character_Type()}:
33555 @item 
33556 @ref{f98,,Set_Is_Character_Type()}:
33558 @item 
33559 @ref{f99,,Get_Nature_Staticness()}:
33561 @item 
33562 @ref{f9a,,Set_Nature_Staticness()}:
33564 @item 
33565 @ref{f9b,,Get_Type_Staticness()}:
33567 @item 
33568 @ref{f9c,,Set_Type_Staticness()}:
33570 @item 
33571 @ref{f9d,,Get_Constraint_State()}:
33573 @item 
33574 @ref{f9e,,Set_Constraint_State()}:
33576 @item 
33577 @ref{f9f,,Get_Index_Subtype_List()}:
33579 @item 
33580 @ref{fa0,,Set_Index_Subtype_List()}:
33582 @item 
33583 @ref{fa1,,Get_Index_Subtype_Definition_List()}:
33585 @item 
33586 @ref{fa2,,Set_Index_Subtype_Definition_List()}:
33588 @item 
33589 @ref{fa3,,Get_Element_Subtype_Indication()}:
33591 @item 
33592 @ref{fa4,,Set_Element_Subtype_Indication()}:
33594 @item 
33595 @ref{fa5,,Get_Element_Subtype()}:
33597 @item 
33598 @ref{fa6,,Set_Element_Subtype()}:
33600 @item 
33601 @ref{fa7,,Get_Element_Subnature_Indication()}:
33603 @item 
33604 @ref{fa8,,Set_Element_Subnature_Indication()}:
33606 @item 
33607 @ref{fa9,,Get_Element_Subnature()}:
33609 @item 
33610 @ref{faa,,Set_Element_Subnature()}:
33612 @item 
33613 @ref{fab,,Get_Index_Constraint_List()}:
33615 @item 
33616 @ref{fac,,Set_Index_Constraint_List()}:
33618 @item 
33619 @ref{fad,,Get_Array_Element_Constraint()}:
33621 @item 
33622 @ref{fae,,Set_Array_Element_Constraint()}:
33624 @item 
33625 @ref{faf,,Get_Has_Array_Constraint_Flag()}:
33627 @item 
33628 @ref{fb0,,Set_Has_Array_Constraint_Flag()}:
33630 @item 
33631 @ref{fb1,,Get_Has_Element_Constraint_Flag()}:
33633 @item 
33634 @ref{fb2,,Set_Has_Element_Constraint_Flag()}:
33636 @item 
33637 @ref{fb3,,Get_Elements_Declaration_List()}:
33639 @item 
33640 @ref{fb4,,Set_Elements_Declaration_List()}:
33642 @item 
33643 @ref{fb5,,Get_Owned_Elements_Chain()}:
33645 @item 
33646 @ref{fb6,,Set_Owned_Elements_Chain()}:
33648 @item 
33649 @ref{fb7,,Get_Designated_Type()}:
33651 @item 
33652 @ref{fb8,,Set_Designated_Type()}:
33654 @item 
33655 @ref{fb9,,Get_Designated_Subtype_Indication()}:
33657 @item 
33658 @ref{fba,,Set_Designated_Subtype_Indication()}:
33660 @item 
33661 @ref{fbb,,Get_Index_List()}:
33663 @item 
33664 @ref{fbc,,Set_Index_List()}:
33666 @item 
33667 @ref{fbd,,Get_Reference()}:
33669 @item 
33670 @ref{fbe,,Set_Reference()}:
33672 @item 
33673 @ref{fbf,,Get_Nature_Declarator()}:
33675 @item 
33676 @ref{fc0,,Set_Nature_Declarator()}:
33678 @item 
33679 @ref{fc1,,Get_Across_Type_Mark()}:
33681 @item 
33682 @ref{fc2,,Set_Across_Type_Mark()}:
33684 @item 
33685 @ref{fc3,,Get_Through_Type_Mark()}:
33687 @item 
33688 @ref{fc4,,Set_Through_Type_Mark()}:
33690 @item 
33691 @ref{fc5,,Get_Across_Type_Definition()}:
33693 @item 
33694 @ref{fc6,,Set_Across_Type_Definition()}:
33696 @item 
33697 @ref{fc7,,Get_Through_Type_Definition()}:
33699 @item 
33700 @ref{fc8,,Set_Through_Type_Definition()}:
33702 @item 
33703 @ref{fc9,,Get_Across_Type()}:
33705 @item 
33706 @ref{fca,,Set_Across_Type()}:
33708 @item 
33709 @ref{fcb,,Get_Through_Type()}:
33711 @item 
33712 @ref{fcc,,Set_Through_Type()}:
33714 @item 
33715 @ref{fcd,,Get_Target()}:
33717 @item 
33718 @ref{fce,,Set_Target()}:
33720 @item 
33721 @ref{fcf,,Get_Waveform_Chain()}:
33723 @item 
33724 @ref{fd0,,Set_Waveform_Chain()}:
33726 @item 
33727 @ref{fd1,,Get_Guard()}:
33729 @item 
33730 @ref{fd2,,Set_Guard()}:
33732 @item 
33733 @ref{fd3,,Get_Delay_Mechanism()}:
33735 @item 
33736 @ref{fd4,,Set_Delay_Mechanism()}:
33738 @item 
33739 @ref{fd5,,Get_Reject_Time_Expression()}:
33741 @item 
33742 @ref{fd6,,Set_Reject_Time_Expression()}:
33744 @item 
33745 @ref{fd7,,Get_Force_Mode()}:
33747 @item 
33748 @ref{fd8,,Set_Force_Mode()}:
33750 @item 
33751 @ref{fd9,,Get_Has_Force_Mode()}:
33753 @item 
33754 @ref{fda,,Set_Has_Force_Mode()}:
33756 @item 
33757 @ref{fdb,,Get_Sensitivity_List()}:
33759 @item 
33760 @ref{fdc,,Set_Sensitivity_List()}:
33762 @item 
33763 @ref{fdd,,Get_Process_Origin()}:
33765 @item 
33766 @ref{fde,,Set_Process_Origin()}:
33768 @item 
33769 @ref{fdf,,Get_Package_Origin()}:
33771 @item 
33772 @ref{fe0,,Set_Package_Origin()}:
33774 @item 
33775 @ref{fe1,,Get_Condition_Clause()}:
33777 @item 
33778 @ref{fe2,,Set_Condition_Clause()}:
33780 @item 
33781 @ref{fe3,,Get_Break_Element()}:
33783 @item 
33784 @ref{fe4,,Set_Break_Element()}:
33786 @item 
33787 @ref{fe5,,Get_Selector_Quantity()}:
33789 @item 
33790 @ref{fe6,,Set_Selector_Quantity()}:
33792 @item 
33793 @ref{fe7,,Get_Break_Quantity()}:
33795 @item 
33796 @ref{fe8,,Set_Break_Quantity()}:
33798 @item 
33799 @ref{fe9,,Get_Timeout_Clause()}:
33801 @item 
33802 @ref{fea,,Set_Timeout_Clause()}:
33804 @item 
33805 @ref{feb,,Get_Postponed_Flag()}:
33807 @item 
33808 @ref{fec,,Set_Postponed_Flag()}:
33810 @item 
33811 @ref{fed,,Get_Callees_List()}:
33813 @item 
33814 @ref{fee,,Set_Callees_List()}:
33816 @item 
33817 @ref{fef,,Get_Passive_Flag()}:
33819 @item 
33820 @ref{ff0,,Set_Passive_Flag()}:
33822 @item 
33823 @ref{ff1,,Get_Resolution_Function_Flag()}:
33825 @item 
33826 @ref{ff2,,Set_Resolution_Function_Flag()}:
33828 @item 
33829 @ref{ff3,,Get_Wait_State()}:
33831 @item 
33832 @ref{ff4,,Set_Wait_State()}:
33834 @item 
33835 @ref{ff5,,Get_All_Sensitized_State()}:
33837 @item 
33838 @ref{ff6,,Set_All_Sensitized_State()}:
33840 @item 
33841 @ref{ff7,,Get_Seen_Flag()}:
33843 @item 
33844 @ref{ff8,,Set_Seen_Flag()}:
33846 @item 
33847 @ref{ff9,,Get_Pure_Flag()}:
33849 @item 
33850 @ref{ffa,,Set_Pure_Flag()}:
33852 @item 
33853 @ref{ffb,,Get_Foreign_Flag()}:
33855 @item 
33856 @ref{ffc,,Set_Foreign_Flag()}:
33858 @item 
33859 @ref{ffd,,Get_Resolved_Flag()}:
33861 @item 
33862 @ref{ffe,,Set_Resolved_Flag()}:
33864 @item 
33865 @ref{fff,,Get_Signal_Type_Flag()}:
33867 @item 
33868 @ref{1000,,Set_Signal_Type_Flag()}:
33870 @item 
33871 @ref{1001,,Get_Has_Signal_Flag()}:
33873 @item 
33874 @ref{1002,,Set_Has_Signal_Flag()}:
33876 @item 
33877 @ref{1003,,Get_Purity_State()}:
33879 @item 
33880 @ref{1004,,Set_Purity_State()}:
33882 @item 
33883 @ref{1005,,Get_Elab_Flag()}:
33885 @item 
33886 @ref{1006,,Set_Elab_Flag()}:
33888 @item 
33889 @ref{1007,,Get_Vendor_Library_Flag()}:
33891 @item 
33892 @ref{1008,,Set_Vendor_Library_Flag()}:
33894 @item 
33895 @ref{1009,,Get_Configuration_Mark_Flag()}:
33897 @item 
33898 @ref{100a,,Set_Configuration_Mark_Flag()}:
33900 @item 
33901 @ref{100b,,Get_Configuration_Done_Flag()}:
33903 @item 
33904 @ref{100c,,Set_Configuration_Done_Flag()}:
33906 @item 
33907 @ref{100d,,Get_Index_Constraint_Flag()}:
33909 @item 
33910 @ref{100e,,Set_Index_Constraint_Flag()}:
33912 @item 
33913 @ref{100f,,Get_Hide_Implicit_Flag()}:
33915 @item 
33916 @ref{1010,,Set_Hide_Implicit_Flag()}:
33918 @item 
33919 @ref{1011,,Get_Assertion_Condition()}:
33921 @item 
33922 @ref{1012,,Set_Assertion_Condition()}:
33924 @item 
33925 @ref{1013,,Get_Report_Expression()}:
33927 @item 
33928 @ref{1014,,Set_Report_Expression()}:
33930 @item 
33931 @ref{1015,,Get_Severity_Expression()}:
33933 @item 
33934 @ref{1016,,Set_Severity_Expression()}:
33936 @item 
33937 @ref{1017,,Get_Instantiated_Unit()}:
33939 @item 
33940 @ref{1018,,Set_Instantiated_Unit()}:
33942 @item 
33943 @ref{1019,,Get_Generic_Map_Aspect_Chain()}:
33945 @item 
33946 @ref{101a,,Set_Generic_Map_Aspect_Chain()}:
33948 @item 
33949 @ref{101b,,Get_Port_Map_Aspect_Chain()}:
33951 @item 
33952 @ref{101c,,Set_Port_Map_Aspect_Chain()}:
33954 @item 
33955 @ref{101d,,Get_Configuration_Name()}:
33957 @item 
33958 @ref{101e,,Set_Configuration_Name()}:
33960 @item 
33961 @ref{101f,,Get_Component_Configuration()}:
33963 @item 
33964 @ref{1020,,Set_Component_Configuration()}:
33966 @item 
33967 @ref{1021,,Get_Configuration_Specification()}:
33969 @item 
33970 @ref{1022,,Set_Configuration_Specification()}:
33972 @item 
33973 @ref{1023,,Get_Default_Binding_Indication()}:
33975 @item 
33976 @ref{1024,,Set_Default_Binding_Indication()}:
33978 @item 
33979 @ref{1025,,Get_Default_Configuration_Declaration()}:
33981 @item 
33982 @ref{1026,,Set_Default_Configuration_Declaration()}:
33984 @item 
33985 @ref{1027,,Get_Expression()}:
33987 @item 
33988 @ref{1028,,Set_Expression()}:
33990 @item 
33991 @ref{1029,,Get_Conditional_Expression_Chain()}:
33993 @item 
33994 @ref{102a,,Set_Conditional_Expression_Chain()}:
33996 @item 
33997 @ref{102b,,Get_Allocator_Designated_Type()}:
33999 @item 
34000 @ref{102c,,Set_Allocator_Designated_Type()}:
34002 @item 
34003 @ref{102d,,Get_Selected_Waveform_Chain()}:
34005 @item 
34006 @ref{102e,,Set_Selected_Waveform_Chain()}:
34008 @item 
34009 @ref{102f,,Get_Conditional_Waveform_Chain()}:
34011 @item 
34012 @ref{1030,,Set_Conditional_Waveform_Chain()}:
34014 @item 
34015 @ref{1031,,Get_Guard_Expression()}:
34017 @item 
34018 @ref{1032,,Set_Guard_Expression()}:
34020 @item 
34021 @ref{1033,,Get_Guard_Decl()}:
34023 @item 
34024 @ref{1034,,Set_Guard_Decl()}:
34026 @item 
34027 @ref{1035,,Get_Guard_Sensitivity_List()}:
34029 @item 
34030 @ref{1036,,Set_Guard_Sensitivity_List()}:
34032 @item 
34033 @ref{1037,,Get_Signal_Attribute_Chain()}:
34035 @item 
34036 @ref{1038,,Set_Signal_Attribute_Chain()}:
34038 @item 
34039 @ref{1039,,Get_Block_Block_Configuration()}:
34041 @item 
34042 @ref{103a,,Set_Block_Block_Configuration()}:
34044 @item 
34045 @ref{103b,,Get_Package_Header()}:
34047 @item 
34048 @ref{103c,,Set_Package_Header()}:
34050 @item 
34051 @ref{103d,,Get_Block_Header()}:
34053 @item 
34054 @ref{103e,,Set_Block_Header()}:
34056 @item 
34057 @ref{103f,,Get_Uninstantiated_Package_Name()}:
34059 @item 
34060 @ref{1040,,Set_Uninstantiated_Package_Name()}:
34062 @item 
34063 @ref{1041,,Get_Uninstantiated_Package_Decl()}:
34065 @item 
34066 @ref{1042,,Set_Uninstantiated_Package_Decl()}:
34068 @item 
34069 @ref{1043,,Get_Instance_Source_File()}:
34071 @item 
34072 @ref{1044,,Set_Instance_Source_File()}:
34074 @item 
34075 @ref{1045,,Get_Generate_Block_Configuration()}:
34077 @item 
34078 @ref{1046,,Set_Generate_Block_Configuration()}:
34080 @item 
34081 @ref{1047,,Get_Generate_Statement_Body()}:
34083 @item 
34084 @ref{1048,,Set_Generate_Statement_Body()}:
34086 @item 
34087 @ref{1049,,Get_Alternative_Label()}:
34089 @item 
34090 @ref{104a,,Set_Alternative_Label()}:
34092 @item 
34093 @ref{104b,,Get_Generate_Else_Clause()}:
34095 @item 
34096 @ref{104c,,Set_Generate_Else_Clause()}:
34098 @item 
34099 @ref{104d,,Get_Condition()}:
34101 @item 
34102 @ref{104e,,Set_Condition()}:
34104 @item 
34105 @ref{104f,,Get_Else_Clause()}:
34107 @item 
34108 @ref{1050,,Set_Else_Clause()}:
34110 @item 
34111 @ref{1051,,Get_Parameter_Specification()}:
34113 @item 
34114 @ref{1052,,Set_Parameter_Specification()}:
34116 @item 
34117 @ref{1053,,Get_Parent()}:
34119 @item 
34120 @ref{1054,,Set_Parent()}:
34122 @item 
34123 @ref{1055,,Get_Loop_Label()}:
34125 @item 
34126 @ref{1056,,Set_Loop_Label()}:
34128 @item 
34129 @ref{1057,,Get_Exit_Flag()}:
34131 @item 
34132 @ref{1058,,Set_Exit_Flag()}:
34134 @item 
34135 @ref{1059,,Get_Next_Flag()}:
34137 @item 
34138 @ref{105a,,Set_Next_Flag()}:
34140 @item 
34141 @ref{105b,,Get_Component_Name()}:
34143 @item 
34144 @ref{105c,,Set_Component_Name()}:
34146 @item 
34147 @ref{105d,,Get_Instantiation_List()}:
34149 @item 
34150 @ref{105e,,Set_Instantiation_List()}:
34152 @item 
34153 @ref{105f,,Get_Entity_Aspect()}:
34155 @item 
34156 @ref{1060,,Set_Entity_Aspect()}:
34158 @item 
34159 @ref{1061,,Get_Default_Entity_Aspect()}:
34161 @item 
34162 @ref{1062,,Set_Default_Entity_Aspect()}:
34164 @item 
34165 @ref{1063,,Get_Binding_Indication()}:
34167 @item 
34168 @ref{1064,,Set_Binding_Indication()}:
34170 @item 
34171 @ref{1065,,Get_Named_Entity()}:
34173 @item 
34174 @ref{1066,,Set_Named_Entity()}:
34176 @item 
34177 @ref{1067,,Get_Referenced_Name()}:
34179 @item 
34180 @ref{1068,,Set_Referenced_Name()}:
34182 @item 
34183 @ref{1069,,Get_Expr_Staticness()}:
34185 @item 
34186 @ref{106a,,Set_Expr_Staticness()}:
34188 @item 
34189 @ref{106b,,Get_Scalar_Size()}:
34191 @item 
34192 @ref{106c,,Set_Scalar_Size()}:
34194 @item 
34195 @ref{106d,,Get_Error_Origin()}:
34197 @item 
34198 @ref{106e,,Set_Error_Origin()}:
34200 @item 
34201 @ref{106f,,Get_Operand()}:
34203 @item 
34204 @ref{1070,,Set_Operand()}:
34206 @item 
34207 @ref{1071,,Get_Left()}:
34209 @item 
34210 @ref{1072,,Set_Left()}:
34212 @item 
34213 @ref{1073,,Get_Right()}:
34215 @item 
34216 @ref{1074,,Set_Right()}:
34218 @item 
34219 @ref{1075,,Get_Unit_Name()}:
34221 @item 
34222 @ref{1076,,Set_Unit_Name()}:
34224 @item 
34225 @ref{1077,,Get_Name()}:
34227 @item 
34228 @ref{1078,,Set_Name()}:
34230 @item 
34231 @ref{1079,,Get_Group_Template_Name()}:
34233 @item 
34234 @ref{107a,,Set_Group_Template_Name()}:
34236 @item 
34237 @ref{107b,,Get_Name_Staticness()}:
34239 @item 
34240 @ref{107c,,Set_Name_Staticness()}:
34242 @item 
34243 @ref{107d,,Get_Prefix()}:
34245 @item 
34246 @ref{107e,,Set_Prefix()}:
34248 @item 
34249 @ref{107f,,Get_Signature_Prefix()}:
34251 @item 
34252 @ref{1080,,Set_Signature_Prefix()}:
34254 @item 
34255 @ref{1081,,Get_External_Pathname()}:
34257 @item 
34258 @ref{1082,,Set_External_Pathname()}:
34260 @item 
34261 @ref{1083,,Get_Pathname_Suffix()}:
34263 @item 
34264 @ref{1084,,Set_Pathname_Suffix()}:
34266 @item 
34267 @ref{1085,,Get_Pathname_Expression()}:
34269 @item 
34270 @ref{1086,,Set_Pathname_Expression()}:
34272 @item 
34273 @ref{1087,,Get_In_Formal_Flag()}:
34275 @item 
34276 @ref{1088,,Set_In_Formal_Flag()}:
34278 @item 
34279 @ref{1089,,Get_Slice_Subtype()}:
34281 @item 
34282 @ref{108a,,Set_Slice_Subtype()}:
34284 @item 
34285 @ref{108b,,Get_Suffix()}:
34287 @item 
34288 @ref{108c,,Set_Suffix()}:
34290 @item 
34291 @ref{108d,,Get_Index_Subtype()}:
34293 @item 
34294 @ref{108e,,Set_Index_Subtype()}:
34296 @item 
34297 @ref{108f,,Get_Parameter()}:
34299 @item 
34300 @ref{1090,,Set_Parameter()}:
34302 @item 
34303 @ref{1091,,Get_Parameter_2()}:
34305 @item 
34306 @ref{1092,,Set_Parameter_2()}:
34308 @item 
34309 @ref{1093,,Get_Parameter_3()}:
34311 @item 
34312 @ref{1094,,Set_Parameter_3()}:
34314 @item 
34315 @ref{1095,,Get_Parameter_4()}:
34317 @item 
34318 @ref{1096,,Set_Parameter_4()}:
34320 @item 
34321 @ref{1097,,Get_Attr_Chain()}:
34323 @item 
34324 @ref{1098,,Set_Attr_Chain()}:
34326 @item 
34327 @ref{1099,,Get_Signal_Attribute_Declaration()}:
34329 @item 
34330 @ref{109a,,Set_Signal_Attribute_Declaration()}:
34332 @item 
34333 @ref{109b,,Get_Actual_Type()}:
34335 @item 
34336 @ref{109c,,Set_Actual_Type()}:
34338 @item 
34339 @ref{109d,,Get_Actual_Type_Definition()}:
34341 @item 
34342 @ref{109e,,Set_Actual_Type_Definition()}:
34344 @item 
34345 @ref{109f,,Get_Association_Chain()}:
34347 @item 
34348 @ref{10a0,,Set_Association_Chain()}:
34350 @item 
34351 @ref{10a1,,Get_Individual_Association_Chain()}:
34353 @item 
34354 @ref{10a2,,Set_Individual_Association_Chain()}:
34356 @item 
34357 @ref{10a3,,Get_Subprogram_Association_Chain()}:
34359 @item 
34360 @ref{10a4,,Set_Subprogram_Association_Chain()}:
34362 @item 
34363 @ref{10a5,,Get_Aggregate_Info()}:
34365 @item 
34366 @ref{10a6,,Set_Aggregate_Info()}:
34368 @item 
34369 @ref{10a7,,Get_Sub_Aggregate_Info()}:
34371 @item 
34372 @ref{10a8,,Set_Sub_Aggregate_Info()}:
34374 @item 
34375 @ref{10a9,,Get_Aggr_Dynamic_Flag()}:
34377 @item 
34378 @ref{10aa,,Set_Aggr_Dynamic_Flag()}:
34380 @item 
34381 @ref{10ab,,Get_Aggr_Min_Length()}:
34383 @item 
34384 @ref{10ac,,Set_Aggr_Min_Length()}:
34386 @item 
34387 @ref{10ad,,Get_Aggr_Low_Limit()}:
34389 @item 
34390 @ref{10ae,,Set_Aggr_Low_Limit()}:
34392 @item 
34393 @ref{10af,,Get_Aggr_High_Limit()}:
34395 @item 
34396 @ref{10b0,,Set_Aggr_High_Limit()}:
34398 @item 
34399 @ref{10b1,,Get_Aggr_Others_Flag()}:
34401 @item 
34402 @ref{10b2,,Set_Aggr_Others_Flag()}:
34404 @item 
34405 @ref{10b3,,Get_Aggr_Named_Flag()}:
34407 @item 
34408 @ref{10b4,,Set_Aggr_Named_Flag()}:
34410 @item 
34411 @ref{10b5,,Get_Aggregate_Expand_Flag()}:
34413 @item 
34414 @ref{10b6,,Set_Aggregate_Expand_Flag()}:
34416 @item 
34417 @ref{10b7,,Get_Association_Choices_Chain()}:
34419 @item 
34420 @ref{10b8,,Set_Association_Choices_Chain()}:
34422 @item 
34423 @ref{10b9,,Get_Case_Statement_Alternative_Chain()}:
34425 @item 
34426 @ref{10ba,,Set_Case_Statement_Alternative_Chain()}:
34428 @item 
34429 @ref{10bb,,Get_Matching_Flag()}:
34431 @item 
34432 @ref{10bc,,Set_Matching_Flag()}:
34434 @item 
34435 @ref{10bd,,Get_Choice_Staticness()}:
34437 @item 
34438 @ref{10be,,Set_Choice_Staticness()}:
34440 @item 
34441 @ref{10bf,,Get_Procedure_Call()}:
34443 @item 
34444 @ref{10c0,,Set_Procedure_Call()}:
34446 @item 
34447 @ref{10c1,,Get_Implementation()}:
34449 @item 
34450 @ref{10c2,,Set_Implementation()}:
34452 @item 
34453 @ref{10c3,,Get_Parameter_Association_Chain()}:
34455 @item 
34456 @ref{10c4,,Set_Parameter_Association_Chain()}:
34458 @item 
34459 @ref{10c5,,Get_Method_Object()}:
34461 @item 
34462 @ref{10c6,,Set_Method_Object()}:
34464 @item 
34465 @ref{10c7,,Get_Subtype_Type_Mark()}:
34467 @item 
34468 @ref{10c8,,Set_Subtype_Type_Mark()}:
34470 @item 
34471 @ref{10c9,,Get_Subnature_Nature_Mark()}:
34473 @item 
34474 @ref{10ca,,Set_Subnature_Nature_Mark()}:
34476 @item 
34477 @ref{10cb,,Get_Type_Conversion_Subtype()}:
34479 @item 
34480 @ref{10cc,,Set_Type_Conversion_Subtype()}:
34482 @item 
34483 @ref{10cd,,Get_Type_Mark()}:
34485 @item 
34486 @ref{10ce,,Set_Type_Mark()}:
34488 @item 
34489 @ref{10cf,,Get_File_Type_Mark()}:
34491 @item 
34492 @ref{10d0,,Set_File_Type_Mark()}:
34494 @item 
34495 @ref{10d1,,Get_Return_Type_Mark()}:
34497 @item 
34498 @ref{10d2,,Set_Return_Type_Mark()}:
34500 @item 
34501 @ref{10d3,,Get_Has_Disconnect_Flag()}:
34503 @item 
34504 @ref{10d4,,Set_Has_Disconnect_Flag()}:
34506 @item 
34507 @ref{10d5,,Get_Has_Active_Flag()}:
34509 @item 
34510 @ref{10d6,,Set_Has_Active_Flag()}:
34512 @item 
34513 @ref{10d7,,Get_Is_Within_Flag()}:
34515 @item 
34516 @ref{10d8,,Set_Is_Within_Flag()}:
34518 @item 
34519 @ref{10d9,,Get_Type_Marks_List()}:
34521 @item 
34522 @ref{10da,,Set_Type_Marks_List()}:
34524 @item 
34525 @ref{10db,,Get_Implicit_Alias_Flag()}:
34527 @item 
34528 @ref{10dc,,Set_Implicit_Alias_Flag()}:
34530 @item 
34531 @ref{10dd,,Get_Alias_Signature()}:
34533 @item 
34534 @ref{10de,,Set_Alias_Signature()}:
34536 @item 
34537 @ref{10df,,Get_Attribute_Signature()}:
34539 @item 
34540 @ref{10e0,,Set_Attribute_Signature()}:
34542 @item 
34543 @ref{10e1,,Get_Overload_List()}:
34545 @item 
34546 @ref{10e2,,Set_Overload_List()}:
34548 @item 
34549 @ref{10e3,,Get_Simple_Name_Identifier()}:
34551 @item 
34552 @ref{10e4,,Set_Simple_Name_Identifier()}:
34554 @item 
34555 @ref{10e5,,Get_Simple_Name_Subtype()}:
34557 @item 
34558 @ref{10e6,,Set_Simple_Name_Subtype()}:
34560 @item 
34561 @ref{10e7,,Get_Protected_Type_Body()}:
34563 @item 
34564 @ref{10e8,,Set_Protected_Type_Body()}:
34566 @item 
34567 @ref{10e9,,Get_Protected_Type_Declaration()}:
34569 @item 
34570 @ref{10ea,,Set_Protected_Type_Declaration()}:
34572 @item 
34573 @ref{10eb,,Get_Use_Flag()}:
34575 @item 
34576 @ref{10ec,,Set_Use_Flag()}:
34578 @item 
34579 @ref{10ed,,Get_End_Has_Reserved_Id()}:
34581 @item 
34582 @ref{10ee,,Set_End_Has_Reserved_Id()}:
34584 @item 
34585 @ref{10ef,,Get_End_Has_Identifier()}:
34587 @item 
34588 @ref{10f0,,Set_End_Has_Identifier()}:
34590 @item 
34591 @ref{10f1,,Get_End_Has_Postponed()}:
34593 @item 
34594 @ref{10f2,,Set_End_Has_Postponed()}:
34596 @item 
34597 @ref{10f3,,Get_Has_Label()}:
34599 @item 
34600 @ref{10f4,,Set_Has_Label()}:
34602 @item 
34603 @ref{10f5,,Get_Has_Begin()}:
34605 @item 
34606 @ref{10f6,,Set_Has_Begin()}:
34608 @item 
34609 @ref{10f7,,Get_Has_End()}:
34611 @item 
34612 @ref{10f8,,Set_Has_End()}:
34614 @item 
34615 @ref{10f9,,Get_Has_Is()}:
34617 @item 
34618 @ref{10fa,,Set_Has_Is()}:
34620 @item 
34621 @ref{10fb,,Get_Has_Pure()}:
34623 @item 
34624 @ref{10fc,,Set_Has_Pure()}:
34626 @item 
34627 @ref{10fd,,Get_Has_Body()}:
34629 @item 
34630 @ref{10fe,,Set_Has_Body()}:
34632 @item 
34633 @ref{10ff,,Get_Has_Parameter()}:
34635 @item 
34636 @ref{1100,,Set_Has_Parameter()}:
34638 @item 
34639 @ref{1101,,Get_Has_Component()}:
34641 @item 
34642 @ref{1102,,Set_Has_Component()}:
34644 @item 
34645 @ref{1103,,Get_Has_Identifier_List()}:
34647 @item 
34648 @ref{1104,,Set_Has_Identifier_List()}:
34650 @item 
34651 @ref{1105,,Get_Has_Mode()}:
34653 @item 
34654 @ref{1106,,Set_Has_Mode()}:
34656 @item 
34657 @ref{1107,,Get_Has_Class()}:
34659 @item 
34660 @ref{1108,,Set_Has_Class()}:
34662 @item 
34663 @ref{1109,,Get_Has_Delay_Mechanism()}:
34665 @item 
34666 @ref{110a,,Set_Has_Delay_Mechanism()}:
34668 @item 
34669 @ref{110b,,Get_Suspend_Flag()}:
34671 @item 
34672 @ref{110c,,Set_Suspend_Flag()}:
34674 @item 
34675 @ref{110d,,Get_Is_Ref()}:
34677 @item 
34678 @ref{110e,,Set_Is_Ref()}:
34680 @item 
34681 @ref{110f,,Get_Is_Forward_Ref()}:
34683 @item 
34684 @ref{1110,,Set_Is_Forward_Ref()}:
34686 @item 
34687 @ref{1111,,Get_Psl_Property()}:
34689 @item 
34690 @ref{1112,,Set_Psl_Property()}:
34692 @item 
34693 @ref{1113,,Get_Psl_Sequence()}:
34695 @item 
34696 @ref{1114,,Set_Psl_Sequence()}:
34698 @item 
34699 @ref{1115,,Get_Psl_Declaration()}:
34701 @item 
34702 @ref{1116,,Set_Psl_Declaration()}:
34704 @item 
34705 @ref{1117,,Get_Psl_Expression()}:
34707 @item 
34708 @ref{1118,,Set_Psl_Expression()}:
34710 @item 
34711 @ref{1119,,Get_Psl_Boolean()}:
34713 @item 
34714 @ref{111a,,Set_Psl_Boolean()}:
34716 @item 
34717 @ref{111b,,Get_PSL_Clock()}:
34719 @item 
34720 @ref{111c,,Set_PSL_Clock()}:
34722 @item 
34723 @ref{111d,,Get_PSL_NFA()}:
34725 @item 
34726 @ref{111e,,Set_PSL_NFA()}:
34728 @item 
34729 @ref{111f,,Get_PSL_Nbr_States()}:
34731 @item 
34732 @ref{1120,,Set_PSL_Nbr_States()}:
34734 @item 
34735 @ref{1121,,Get_PSL_Clock_Sensitivity()}:
34737 @item 
34738 @ref{1122,,Set_PSL_Clock_Sensitivity()}:
34740 @item 
34741 @ref{1123,,Get_PSL_EOS_Flag()}:
34743 @item 
34744 @ref{1124,,Set_PSL_EOS_Flag()}:
34746 @item 
34747 @ref{1125,,Get_PSL_Abort_Flag()}:
34749 @item 
34750 @ref{1126,,Set_PSL_Abort_Flag()}:
34752 @item 
34753 @ref{1127,,Get_Count_Expression()}:
34755 @item 
34756 @ref{1128,,Set_Count_Expression()}:
34758 @item 
34759 @ref{1129,,Get_Clock_Expression()}:
34761 @item 
34762 @ref{112a,,Set_Clock_Expression()}:
34764 @item 
34765 @ref{112b,,Get_Default_Clock()}:
34767 @item 
34768 @ref{112c,,Set_Default_Clock()}:
34770 @item 
34771 @ref{112d,,Get_Foreign_Node()}:
34773 @item 
34774 @ref{112e,,Set_Foreign_Node()}:
34775 @end itemize
34777 @c #-----------------------------------
34779 @geindex Iir_Kind (class in pyGHDL.libghdl.vhdl.nodes)
34780 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind}@anchor{9ee}
34781 @deffn {Class} pyGHDL.libghdl.vhdl.nodes.Iir_Kind (value)
34783 An enumeration.
34785 @subsubheading Inheritance
34787 @image{inheritance-3e2b2bf02d4728cbd3c0c38f87e974312fa633ce,,,[graphviz],png}
34789 @subsubheading Members
34792 @geindex Unused (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
34793 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Unused}@anchor{112f}
34794 @deffn {Attribute} Unused  =  0
34795 @end deffn
34797 @geindex Error (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
34798 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Error}@anchor{1130}
34799 @deffn {Attribute} Error  =  1
34800 @end deffn
34802 @geindex Design_File (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
34803 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Design_File}@anchor{1131}
34804 @deffn {Attribute} Design_File  =  2
34805 @end deffn
34807 @geindex Design_Unit (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
34808 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Design_Unit}@anchor{1132}
34809 @deffn {Attribute} Design_Unit  =  3
34810 @end deffn
34812 @geindex Library_Clause (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
34813 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Library_Clause}@anchor{1133}
34814 @deffn {Attribute} Library_Clause  =  4
34815 @end deffn
34817 @geindex Use_Clause (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
34818 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Use_Clause}@anchor{1134}
34819 @deffn {Attribute} Use_Clause  =  5
34820 @end deffn
34822 @geindex Context_Reference (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
34823 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Context_Reference}@anchor{1135}
34824 @deffn {Attribute} Context_Reference  =  6
34825 @end deffn
34827 @geindex PSL_Inherit_Spec (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
34828 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind PSL_Inherit_Spec}@anchor{1136}
34829 @deffn {Attribute} PSL_Inherit_Spec  =  7
34830 @end deffn
34832 @geindex Integer_Literal (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
34833 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Integer_Literal}@anchor{1137}
34834 @deffn {Attribute} Integer_Literal  =  8
34835 @end deffn
34837 @geindex Floating_Point_Literal (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
34838 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Floating_Point_Literal}@anchor{1138}
34839 @deffn {Attribute} Floating_Point_Literal  =  9
34840 @end deffn
34842 @geindex Null_Literal (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
34843 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Null_Literal}@anchor{1139}
34844 @deffn {Attribute} Null_Literal  =  10
34845 @end deffn
34847 @geindex String_Literal8 (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
34848 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind String_Literal8}@anchor{113a}
34849 @deffn {Attribute} String_Literal8  =  11
34850 @end deffn
34852 @geindex Physical_Int_Literal (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
34853 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Physical_Int_Literal}@anchor{113b}
34854 @deffn {Attribute} Physical_Int_Literal  =  12
34855 @end deffn
34857 @geindex Physical_Fp_Literal (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
34858 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Physical_Fp_Literal}@anchor{113c}
34859 @deffn {Attribute} Physical_Fp_Literal  =  13
34860 @end deffn
34862 @geindex Simple_Aggregate (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
34863 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Simple_Aggregate}@anchor{113d}
34864 @deffn {Attribute} Simple_Aggregate  =  14
34865 @end deffn
34867 @geindex Overflow_Literal (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
34868 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Overflow_Literal}@anchor{113e}
34869 @deffn {Attribute} Overflow_Literal  =  15
34870 @end deffn
34872 @geindex Unaffected_Waveform (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
34873 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Unaffected_Waveform}@anchor{113f}
34874 @deffn {Attribute} Unaffected_Waveform  =  16
34875 @end deffn
34877 @geindex Waveform_Element (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
34878 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Waveform_Element}@anchor{1140}
34879 @deffn {Attribute} Waveform_Element  =  17
34880 @end deffn
34882 @geindex Conditional_Waveform (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
34883 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Conditional_Waveform}@anchor{1141}
34884 @deffn {Attribute} Conditional_Waveform  =  18
34885 @end deffn
34887 @geindex Conditional_Expression (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
34888 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Conditional_Expression}@anchor{1142}
34889 @deffn {Attribute} Conditional_Expression  =  19
34890 @end deffn
34892 @geindex Association_Element_By_Expression (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
34893 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Association_Element_By_Expression}@anchor{1143}
34894 @deffn {Attribute} Association_Element_By_Expression  =  20
34895 @end deffn
34897 @geindex Association_Element_By_Name (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
34898 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Association_Element_By_Name}@anchor{1144}
34899 @deffn {Attribute} Association_Element_By_Name  =  21
34900 @end deffn
34902 @geindex Association_Element_By_Individual (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
34903 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Association_Element_By_Individual}@anchor{1145}
34904 @deffn {Attribute} Association_Element_By_Individual  =  22
34905 @end deffn
34907 @geindex Association_Element_Open (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
34908 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Association_Element_Open}@anchor{1146}
34909 @deffn {Attribute} Association_Element_Open  =  23
34910 @end deffn
34912 @geindex Association_Element_Package (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
34913 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Association_Element_Package}@anchor{1147}
34914 @deffn {Attribute} Association_Element_Package  =  24
34915 @end deffn
34917 @geindex Association_Element_Type (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
34918 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Association_Element_Type}@anchor{1148}
34919 @deffn {Attribute} Association_Element_Type  =  25
34920 @end deffn
34922 @geindex Association_Element_Subprogram (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
34923 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Association_Element_Subprogram}@anchor{1149}
34924 @deffn {Attribute} Association_Element_Subprogram  =  26
34925 @end deffn
34927 @geindex Association_Element_Terminal (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
34928 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Association_Element_Terminal}@anchor{114a}
34929 @deffn {Attribute} Association_Element_Terminal  =  27
34930 @end deffn
34932 @geindex Choice_By_Range (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
34933 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Choice_By_Range}@anchor{114b}
34934 @deffn {Attribute} Choice_By_Range  =  28
34935 @end deffn
34937 @geindex Choice_By_Expression (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
34938 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Choice_By_Expression}@anchor{114c}
34939 @deffn {Attribute} Choice_By_Expression  =  29
34940 @end deffn
34942 @geindex Choice_By_Others (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
34943 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Choice_By_Others}@anchor{114d}
34944 @deffn {Attribute} Choice_By_Others  =  30
34945 @end deffn
34947 @geindex Choice_By_None (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
34948 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Choice_By_None}@anchor{114e}
34949 @deffn {Attribute} Choice_By_None  =  31
34950 @end deffn
34952 @geindex Choice_By_Name (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
34953 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Choice_By_Name}@anchor{114f}
34954 @deffn {Attribute} Choice_By_Name  =  32
34955 @end deffn
34957 @geindex Entity_Aspect_Entity (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
34958 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Entity_Aspect_Entity}@anchor{1150}
34959 @deffn {Attribute} Entity_Aspect_Entity  =  33
34960 @end deffn
34962 @geindex Entity_Aspect_Configuration (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
34963 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Entity_Aspect_Configuration}@anchor{1151}
34964 @deffn {Attribute} Entity_Aspect_Configuration  =  34
34965 @end deffn
34967 @geindex Entity_Aspect_Open (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
34968 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Entity_Aspect_Open}@anchor{1152}
34969 @deffn {Attribute} Entity_Aspect_Open  =  35
34970 @end deffn
34972 @geindex Psl_Hierarchical_Name (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
34973 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Psl_Hierarchical_Name}@anchor{1153}
34974 @deffn {Attribute} Psl_Hierarchical_Name  =  36
34975 @end deffn
34977 @geindex Block_Configuration (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
34978 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Block_Configuration}@anchor{1154}
34979 @deffn {Attribute} Block_Configuration  =  37
34980 @end deffn
34982 @geindex Block_Header (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
34983 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Block_Header}@anchor{1155}
34984 @deffn {Attribute} Block_Header  =  38
34985 @end deffn
34987 @geindex Component_Configuration (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
34988 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Component_Configuration}@anchor{1156}
34989 @deffn {Attribute} Component_Configuration  =  39
34990 @end deffn
34992 @geindex Binding_Indication (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
34993 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Binding_Indication}@anchor{1157}
34994 @deffn {Attribute} Binding_Indication  =  40
34995 @end deffn
34997 @geindex Entity_Class (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
34998 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Entity_Class}@anchor{1158}
34999 @deffn {Attribute} Entity_Class  =  41
35000 @end deffn
35002 @geindex Attribute_Value (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
35003 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Attribute_Value}@anchor{1159}
35004 @deffn {Attribute} Attribute_Value  =  42
35005 @end deffn
35007 @geindex Signature (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
35008 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Signature}@anchor{115a}
35009 @deffn {Attribute} Signature  =  43
35010 @end deffn
35012 @geindex Aggregate_Info (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
35013 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Aggregate_Info}@anchor{115b}
35014 @deffn {Attribute} Aggregate_Info  =  44
35015 @end deffn
35017 @geindex Procedure_Call (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
35018 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Procedure_Call}@anchor{115c}
35019 @deffn {Attribute} Procedure_Call  =  45
35020 @end deffn
35022 @geindex Record_Element_Constraint (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
35023 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Record_Element_Constraint}@anchor{115d}
35024 @deffn {Attribute} Record_Element_Constraint  =  46
35025 @end deffn
35027 @geindex Array_Element_Resolution (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
35028 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Array_Element_Resolution}@anchor{115e}
35029 @deffn {Attribute} Array_Element_Resolution  =  47
35030 @end deffn
35032 @geindex Record_Resolution (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
35033 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Record_Resolution}@anchor{115f}
35034 @deffn {Attribute} Record_Resolution  =  48
35035 @end deffn
35037 @geindex Record_Element_Resolution (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
35038 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Record_Element_Resolution}@anchor{1160}
35039 @deffn {Attribute} Record_Element_Resolution  =  49
35040 @end deffn
35042 @geindex Break_Element (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
35043 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Break_Element}@anchor{1161}
35044 @deffn {Attribute} Break_Element  =  50
35045 @end deffn
35047 @geindex Attribute_Specification (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
35048 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Attribute_Specification}@anchor{1162}
35049 @deffn {Attribute} Attribute_Specification  =  51
35050 @end deffn
35052 @geindex Disconnection_Specification (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
35053 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Disconnection_Specification}@anchor{1163}
35054 @deffn {Attribute} Disconnection_Specification  =  52
35055 @end deffn
35057 @geindex Step_Limit_Specification (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
35058 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Step_Limit_Specification}@anchor{1164}
35059 @deffn {Attribute} Step_Limit_Specification  =  53
35060 @end deffn
35062 @geindex Configuration_Specification (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
35063 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Configuration_Specification}@anchor{1165}
35064 @deffn {Attribute} Configuration_Specification  =  54
35065 @end deffn
35067 @geindex Access_Type_Definition (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
35068 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Access_Type_Definition}@anchor{1166}
35069 @deffn {Attribute} Access_Type_Definition  =  55
35070 @end deffn
35072 @geindex Incomplete_Type_Definition (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
35073 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Incomplete_Type_Definition}@anchor{1167}
35074 @deffn {Attribute} Incomplete_Type_Definition  =  56
35075 @end deffn
35077 @geindex Interface_Type_Definition (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
35078 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Interface_Type_Definition}@anchor{1168}
35079 @deffn {Attribute} Interface_Type_Definition  =  57
35080 @end deffn
35082 @geindex File_Type_Definition (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
35083 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind File_Type_Definition}@anchor{1169}
35084 @deffn {Attribute} File_Type_Definition  =  58
35085 @end deffn
35087 @geindex Protected_Type_Declaration (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
35088 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Protected_Type_Declaration}@anchor{116a}
35089 @deffn {Attribute} Protected_Type_Declaration  =  59
35090 @end deffn
35092 @geindex Record_Type_Definition (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
35093 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Record_Type_Definition}@anchor{116b}
35094 @deffn {Attribute} Record_Type_Definition  =  60
35095 @end deffn
35097 @geindex Array_Type_Definition (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
35098 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Array_Type_Definition}@anchor{116c}
35099 @deffn {Attribute} Array_Type_Definition  =  61
35100 @end deffn
35102 @geindex Array_Subtype_Definition (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
35103 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Array_Subtype_Definition}@anchor{116d}
35104 @deffn {Attribute} Array_Subtype_Definition  =  62
35105 @end deffn
35107 @geindex Record_Subtype_Definition (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
35108 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Record_Subtype_Definition}@anchor{116e}
35109 @deffn {Attribute} Record_Subtype_Definition  =  63
35110 @end deffn
35112 @geindex Access_Subtype_Definition (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
35113 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Access_Subtype_Definition}@anchor{116f}
35114 @deffn {Attribute} Access_Subtype_Definition  =  64
35115 @end deffn
35117 @geindex Physical_Subtype_Definition (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
35118 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Physical_Subtype_Definition}@anchor{1170}
35119 @deffn {Attribute} Physical_Subtype_Definition  =  65
35120 @end deffn
35122 @geindex Floating_Subtype_Definition (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
35123 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Floating_Subtype_Definition}@anchor{1171}
35124 @deffn {Attribute} Floating_Subtype_Definition  =  66
35125 @end deffn
35127 @geindex Integer_Subtype_Definition (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
35128 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Integer_Subtype_Definition}@anchor{1172}
35129 @deffn {Attribute} Integer_Subtype_Definition  =  67
35130 @end deffn
35132 @geindex Enumeration_Subtype_Definition (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
35133 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Enumeration_Subtype_Definition}@anchor{1173}
35134 @deffn {Attribute} Enumeration_Subtype_Definition  =  68
35135 @end deffn
35137 @geindex Enumeration_Type_Definition (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
35138 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Enumeration_Type_Definition}@anchor{1174}
35139 @deffn {Attribute} Enumeration_Type_Definition  =  69
35140 @end deffn
35142 @geindex Integer_Type_Definition (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
35143 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Integer_Type_Definition}@anchor{1175}
35144 @deffn {Attribute} Integer_Type_Definition  =  70
35145 @end deffn
35147 @geindex Floating_Type_Definition (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
35148 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Floating_Type_Definition}@anchor{1176}
35149 @deffn {Attribute} Floating_Type_Definition  =  71
35150 @end deffn
35152 @geindex Physical_Type_Definition (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
35153 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Physical_Type_Definition}@anchor{1177}
35154 @deffn {Attribute} Physical_Type_Definition  =  72
35155 @end deffn
35157 @geindex Range_Expression (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
35158 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Range_Expression}@anchor{1178}
35159 @deffn {Attribute} Range_Expression  =  73
35160 @end deffn
35162 @geindex Protected_Type_Body (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
35163 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Protected_Type_Body}@anchor{1179}
35164 @deffn {Attribute} Protected_Type_Body  =  74
35165 @end deffn
35167 @geindex Wildcard_Type_Definition (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
35168 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Wildcard_Type_Definition}@anchor{117a}
35169 @deffn {Attribute} Wildcard_Type_Definition  =  75
35170 @end deffn
35172 @geindex Foreign_Vector_Type_Definition (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
35173 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Foreign_Vector_Type_Definition}@anchor{117b}
35174 @deffn {Attribute} Foreign_Vector_Type_Definition  =  76
35175 @end deffn
35177 @geindex Subtype_Definition (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
35178 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Subtype_Definition}@anchor{117c}
35179 @deffn {Attribute} Subtype_Definition  =  77
35180 @end deffn
35182 @geindex Scalar_Nature_Definition (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
35183 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Scalar_Nature_Definition}@anchor{117d}
35184 @deffn {Attribute} Scalar_Nature_Definition  =  78
35185 @end deffn
35187 @geindex Record_Nature_Definition (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
35188 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Record_Nature_Definition}@anchor{117e}
35189 @deffn {Attribute} Record_Nature_Definition  =  79
35190 @end deffn
35192 @geindex Array_Nature_Definition (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
35193 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Array_Nature_Definition}@anchor{117f}
35194 @deffn {Attribute} Array_Nature_Definition  =  80
35195 @end deffn
35197 @geindex Array_Subnature_Definition (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
35198 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Array_Subnature_Definition}@anchor{1180}
35199 @deffn {Attribute} Array_Subnature_Definition  =  81
35200 @end deffn
35202 @geindex Overload_List (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
35203 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Overload_List}@anchor{1181}
35204 @deffn {Attribute} Overload_List  =  82
35205 @end deffn
35207 @geindex Foreign_Module (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
35208 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Foreign_Module}@anchor{1182}
35209 @deffn {Attribute} Foreign_Module  =  83
35210 @end deffn
35212 @geindex Entity_Declaration (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
35213 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Entity_Declaration}@anchor{1183}
35214 @deffn {Attribute} Entity_Declaration  =  84
35215 @end deffn
35217 @geindex Configuration_Declaration (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
35218 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Configuration_Declaration}@anchor{1184}
35219 @deffn {Attribute} Configuration_Declaration  =  85
35220 @end deffn
35222 @geindex Context_Declaration (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
35223 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Context_Declaration}@anchor{1185}
35224 @deffn {Attribute} Context_Declaration  =  86
35225 @end deffn
35227 @geindex Package_Declaration (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
35228 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Package_Declaration}@anchor{1186}
35229 @deffn {Attribute} Package_Declaration  =  87
35230 @end deffn
35232 @geindex Package_Instantiation_Declaration (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
35233 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Package_Instantiation_Declaration}@anchor{1187}
35234 @deffn {Attribute} Package_Instantiation_Declaration  =  88
35235 @end deffn
35237 @geindex Vmode_Declaration (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
35238 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Vmode_Declaration}@anchor{1188}
35239 @deffn {Attribute} Vmode_Declaration  =  89
35240 @end deffn
35242 @geindex Vprop_Declaration (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
35243 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Vprop_Declaration}@anchor{1189}
35244 @deffn {Attribute} Vprop_Declaration  =  90
35245 @end deffn
35247 @geindex Vunit_Declaration (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
35248 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Vunit_Declaration}@anchor{118a}
35249 @deffn {Attribute} Vunit_Declaration  =  91
35250 @end deffn
35252 @geindex Package_Body (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
35253 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Package_Body}@anchor{118b}
35254 @deffn {Attribute} Package_Body  =  92
35255 @end deffn
35257 @geindex Architecture_Body (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
35258 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Architecture_Body}@anchor{118c}
35259 @deffn {Attribute} Architecture_Body  =  93
35260 @end deffn
35262 @geindex Type_Declaration (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
35263 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Type_Declaration}@anchor{118d}
35264 @deffn {Attribute} Type_Declaration  =  94
35265 @end deffn
35267 @geindex Anonymous_Type_Declaration (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
35268 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Anonymous_Type_Declaration}@anchor{118e}
35269 @deffn {Attribute} Anonymous_Type_Declaration  =  95
35270 @end deffn
35272 @geindex Subtype_Declaration (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
35273 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Subtype_Declaration}@anchor{118f}
35274 @deffn {Attribute} Subtype_Declaration  =  96
35275 @end deffn
35277 @geindex Nature_Declaration (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
35278 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Nature_Declaration}@anchor{1190}
35279 @deffn {Attribute} Nature_Declaration  =  97
35280 @end deffn
35282 @geindex Subnature_Declaration (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
35283 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Subnature_Declaration}@anchor{1191}
35284 @deffn {Attribute} Subnature_Declaration  =  98
35285 @end deffn
35287 @geindex Package_Header (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
35288 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Package_Header}@anchor{1192}
35289 @deffn {Attribute} Package_Header  =  99
35290 @end deffn
35292 @geindex Unit_Declaration (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
35293 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Unit_Declaration}@anchor{1193}
35294 @deffn {Attribute} Unit_Declaration  =  100
35295 @end deffn
35297 @geindex Library_Declaration (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
35298 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Library_Declaration}@anchor{1194}
35299 @deffn {Attribute} Library_Declaration  =  101
35300 @end deffn
35302 @geindex Component_Declaration (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
35303 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Component_Declaration}@anchor{1195}
35304 @deffn {Attribute} Component_Declaration  =  102
35305 @end deffn
35307 @geindex Attribute_Declaration (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
35308 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Attribute_Declaration}@anchor{1196}
35309 @deffn {Attribute} Attribute_Declaration  =  103
35310 @end deffn
35312 @geindex Group_Template_Declaration (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
35313 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Group_Template_Declaration}@anchor{1197}
35314 @deffn {Attribute} Group_Template_Declaration  =  104
35315 @end deffn
35317 @geindex Group_Declaration (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
35318 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Group_Declaration}@anchor{1198}
35319 @deffn {Attribute} Group_Declaration  =  105
35320 @end deffn
35322 @geindex Element_Declaration (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
35323 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Element_Declaration}@anchor{1199}
35324 @deffn {Attribute} Element_Declaration  =  106
35325 @end deffn
35327 @geindex Nature_Element_Declaration (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
35328 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Nature_Element_Declaration}@anchor{119a}
35329 @deffn {Attribute} Nature_Element_Declaration  =  107
35330 @end deffn
35332 @geindex Non_Object_Alias_Declaration (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
35333 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Non_Object_Alias_Declaration}@anchor{119b}
35334 @deffn {Attribute} Non_Object_Alias_Declaration  =  108
35335 @end deffn
35337 @geindex Psl_Declaration (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
35338 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Psl_Declaration}@anchor{119c}
35339 @deffn {Attribute} Psl_Declaration  =  109
35340 @end deffn
35342 @geindex Psl_Endpoint_Declaration (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
35343 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Psl_Endpoint_Declaration}@anchor{119d}
35344 @deffn {Attribute} Psl_Endpoint_Declaration  =  110
35345 @end deffn
35347 @geindex Enumeration_Literal (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
35348 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Enumeration_Literal}@anchor{119e}
35349 @deffn {Attribute} Enumeration_Literal  =  111
35350 @end deffn
35352 @geindex Function_Declaration (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
35353 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Function_Declaration}@anchor{119f}
35354 @deffn {Attribute} Function_Declaration  =  112
35355 @end deffn
35357 @geindex Procedure_Declaration (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
35358 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Procedure_Declaration}@anchor{11a0}
35359 @deffn {Attribute} Procedure_Declaration  =  113
35360 @end deffn
35362 @geindex Function_Body (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
35363 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Function_Body}@anchor{11a1}
35364 @deffn {Attribute} Function_Body  =  114
35365 @end deffn
35367 @geindex Procedure_Body (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
35368 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Procedure_Body}@anchor{11a2}
35369 @deffn {Attribute} Procedure_Body  =  115
35370 @end deffn
35372 @geindex Function_Instantiation_Declaration (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
35373 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Function_Instantiation_Declaration}@anchor{11a3}
35374 @deffn {Attribute} Function_Instantiation_Declaration  =  116
35375 @end deffn
35377 @geindex Procedure_Instantiation_Declaration (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
35378 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Procedure_Instantiation_Declaration}@anchor{11a4}
35379 @deffn {Attribute} Procedure_Instantiation_Declaration  =  117
35380 @end deffn
35382 @geindex Terminal_Declaration (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
35383 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Terminal_Declaration}@anchor{11a5}
35384 @deffn {Attribute} Terminal_Declaration  =  118
35385 @end deffn
35387 @geindex Object_Alias_Declaration (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
35388 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Object_Alias_Declaration}@anchor{11a6}
35389 @deffn {Attribute} Object_Alias_Declaration  =  119
35390 @end deffn
35392 @geindex Free_Quantity_Declaration (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
35393 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Free_Quantity_Declaration}@anchor{11a7}
35394 @deffn {Attribute} Free_Quantity_Declaration  =  120
35395 @end deffn
35397 @geindex Spectrum_Quantity_Declaration (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
35398 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Spectrum_Quantity_Declaration}@anchor{11a8}
35399 @deffn {Attribute} Spectrum_Quantity_Declaration  =  121
35400 @end deffn
35402 @geindex Noise_Quantity_Declaration (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
35403 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Noise_Quantity_Declaration}@anchor{11a9}
35404 @deffn {Attribute} Noise_Quantity_Declaration  =  122
35405 @end deffn
35407 @geindex Across_Quantity_Declaration (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
35408 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Across_Quantity_Declaration}@anchor{11aa}
35409 @deffn {Attribute} Across_Quantity_Declaration  =  123
35410 @end deffn
35412 @geindex Through_Quantity_Declaration (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
35413 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Through_Quantity_Declaration}@anchor{11ab}
35414 @deffn {Attribute} Through_Quantity_Declaration  =  124
35415 @end deffn
35417 @geindex File_Declaration (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
35418 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind File_Declaration}@anchor{11ac}
35419 @deffn {Attribute} File_Declaration  =  125
35420 @end deffn
35422 @geindex Guard_Signal_Declaration (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
35423 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Guard_Signal_Declaration}@anchor{11ad}
35424 @deffn {Attribute} Guard_Signal_Declaration  =  126
35425 @end deffn
35427 @geindex Signal_Declaration (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
35428 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Signal_Declaration}@anchor{11ae}
35429 @deffn {Attribute} Signal_Declaration  =  127
35430 @end deffn
35432 @geindex Variable_Declaration (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
35433 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Variable_Declaration}@anchor{11af}
35434 @deffn {Attribute} Variable_Declaration  =  128
35435 @end deffn
35437 @geindex Constant_Declaration (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
35438 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Constant_Declaration}@anchor{11b0}
35439 @deffn {Attribute} Constant_Declaration  =  129
35440 @end deffn
35442 @geindex Iterator_Declaration (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
35443 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Iterator_Declaration}@anchor{11b1}
35444 @deffn {Attribute} Iterator_Declaration  =  130
35445 @end deffn
35447 @geindex Interface_Constant_Declaration (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
35448 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Interface_Constant_Declaration}@anchor{11b2}
35449 @deffn {Attribute} Interface_Constant_Declaration  =  131
35450 @end deffn
35452 @geindex Interface_Variable_Declaration (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
35453 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Interface_Variable_Declaration}@anchor{11b3}
35454 @deffn {Attribute} Interface_Variable_Declaration  =  132
35455 @end deffn
35457 @geindex Interface_Signal_Declaration (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
35458 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Interface_Signal_Declaration}@anchor{11b4}
35459 @deffn {Attribute} Interface_Signal_Declaration  =  133
35460 @end deffn
35462 @geindex Interface_File_Declaration (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
35463 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Interface_File_Declaration}@anchor{11b5}
35464 @deffn {Attribute} Interface_File_Declaration  =  134
35465 @end deffn
35467 @geindex Interface_Quantity_Declaration (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
35468 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Interface_Quantity_Declaration}@anchor{11b6}
35469 @deffn {Attribute} Interface_Quantity_Declaration  =  135
35470 @end deffn
35472 @geindex Interface_Terminal_Declaration (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
35473 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Interface_Terminal_Declaration}@anchor{11b7}
35474 @deffn {Attribute} Interface_Terminal_Declaration  =  136
35475 @end deffn
35477 @geindex Interface_Type_Declaration (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
35478 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Interface_Type_Declaration}@anchor{11b8}
35479 @deffn {Attribute} Interface_Type_Declaration  =  137
35480 @end deffn
35482 @geindex Interface_Package_Declaration (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
35483 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Interface_Package_Declaration}@anchor{11b9}
35484 @deffn {Attribute} Interface_Package_Declaration  =  138
35485 @end deffn
35487 @geindex Interface_Function_Declaration (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
35488 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Interface_Function_Declaration}@anchor{11ba}
35489 @deffn {Attribute} Interface_Function_Declaration  =  139
35490 @end deffn
35492 @geindex Interface_Procedure_Declaration (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
35493 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Interface_Procedure_Declaration}@anchor{11bb}
35494 @deffn {Attribute} Interface_Procedure_Declaration  =  140
35495 @end deffn
35497 @geindex Signal_Attribute_Declaration (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
35498 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Signal_Attribute_Declaration}@anchor{11bc}
35499 @deffn {Attribute} Signal_Attribute_Declaration  =  141
35500 @end deffn
35502 @geindex Identity_Operator (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
35503 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Identity_Operator}@anchor{11bd}
35504 @deffn {Attribute} Identity_Operator  =  142
35505 @end deffn
35507 @geindex Negation_Operator (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
35508 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Negation_Operator}@anchor{11be}
35509 @deffn {Attribute} Negation_Operator  =  143
35510 @end deffn
35512 @geindex Absolute_Operator (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
35513 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Absolute_Operator}@anchor{11bf}
35514 @deffn {Attribute} Absolute_Operator  =  144
35515 @end deffn
35517 @geindex Not_Operator (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
35518 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Not_Operator}@anchor{11c0}
35519 @deffn {Attribute} Not_Operator  =  145
35520 @end deffn
35522 @geindex Implicit_Condition_Operator (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
35523 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Implicit_Condition_Operator}@anchor{11c1}
35524 @deffn {Attribute} Implicit_Condition_Operator  =  146
35525 @end deffn
35527 @geindex Condition_Operator (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
35528 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Condition_Operator}@anchor{11c2}
35529 @deffn {Attribute} Condition_Operator  =  147
35530 @end deffn
35532 @geindex Reduction_And_Operator (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
35533 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Reduction_And_Operator}@anchor{11c3}
35534 @deffn {Attribute} Reduction_And_Operator  =  148
35535 @end deffn
35537 @geindex Reduction_Or_Operator (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
35538 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Reduction_Or_Operator}@anchor{11c4}
35539 @deffn {Attribute} Reduction_Or_Operator  =  149
35540 @end deffn
35542 @geindex Reduction_Nand_Operator (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
35543 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Reduction_Nand_Operator}@anchor{11c5}
35544 @deffn {Attribute} Reduction_Nand_Operator  =  150
35545 @end deffn
35547 @geindex Reduction_Nor_Operator (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
35548 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Reduction_Nor_Operator}@anchor{11c6}
35549 @deffn {Attribute} Reduction_Nor_Operator  =  151
35550 @end deffn
35552 @geindex Reduction_Xor_Operator (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
35553 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Reduction_Xor_Operator}@anchor{11c7}
35554 @deffn {Attribute} Reduction_Xor_Operator  =  152
35555 @end deffn
35557 @geindex Reduction_Xnor_Operator (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
35558 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Reduction_Xnor_Operator}@anchor{11c8}
35559 @deffn {Attribute} Reduction_Xnor_Operator  =  153
35560 @end deffn
35562 @geindex And_Operator (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
35563 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind And_Operator}@anchor{11c9}
35564 @deffn {Attribute} And_Operator  =  154
35565 @end deffn
35567 @geindex Or_Operator (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
35568 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Or_Operator}@anchor{11ca}
35569 @deffn {Attribute} Or_Operator  =  155
35570 @end deffn
35572 @geindex Nand_Operator (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
35573 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Nand_Operator}@anchor{11cb}
35574 @deffn {Attribute} Nand_Operator  =  156
35575 @end deffn
35577 @geindex Nor_Operator (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
35578 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Nor_Operator}@anchor{11cc}
35579 @deffn {Attribute} Nor_Operator  =  157
35580 @end deffn
35582 @geindex Xor_Operator (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
35583 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Xor_Operator}@anchor{11cd}
35584 @deffn {Attribute} Xor_Operator  =  158
35585 @end deffn
35587 @geindex Xnor_Operator (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
35588 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Xnor_Operator}@anchor{11ce}
35589 @deffn {Attribute} Xnor_Operator  =  159
35590 @end deffn
35592 @geindex Equality_Operator (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
35593 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Equality_Operator}@anchor{11cf}
35594 @deffn {Attribute} Equality_Operator  =  160
35595 @end deffn
35597 @geindex Inequality_Operator (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
35598 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Inequality_Operator}@anchor{11d0}
35599 @deffn {Attribute} Inequality_Operator  =  161
35600 @end deffn
35602 @geindex Less_Than_Operator (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
35603 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Less_Than_Operator}@anchor{11d1}
35604 @deffn {Attribute} Less_Than_Operator  =  162
35605 @end deffn
35607 @geindex Less_Than_Or_Equal_Operator (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
35608 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Less_Than_Or_Equal_Operator}@anchor{11d2}
35609 @deffn {Attribute} Less_Than_Or_Equal_Operator  =  163
35610 @end deffn
35612 @geindex Greater_Than_Operator (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
35613 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Greater_Than_Operator}@anchor{11d3}
35614 @deffn {Attribute} Greater_Than_Operator  =  164
35615 @end deffn
35617 @geindex Greater_Than_Or_Equal_Operator (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
35618 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Greater_Than_Or_Equal_Operator}@anchor{11d4}
35619 @deffn {Attribute} Greater_Than_Or_Equal_Operator  =  165
35620 @end deffn
35622 @geindex Match_Equality_Operator (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
35623 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Match_Equality_Operator}@anchor{11d5}
35624 @deffn {Attribute} Match_Equality_Operator  =  166
35625 @end deffn
35627 @geindex Match_Inequality_Operator (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
35628 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Match_Inequality_Operator}@anchor{11d6}
35629 @deffn {Attribute} Match_Inequality_Operator  =  167
35630 @end deffn
35632 @geindex Match_Less_Than_Operator (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
35633 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Match_Less_Than_Operator}@anchor{11d7}
35634 @deffn {Attribute} Match_Less_Than_Operator  =  168
35635 @end deffn
35637 @geindex Match_Less_Than_Or_Equal_Operator (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
35638 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Match_Less_Than_Or_Equal_Operator}@anchor{11d8}
35639 @deffn {Attribute} Match_Less_Than_Or_Equal_Operator  =  169
35640 @end deffn
35642 @geindex Match_Greater_Than_Operator (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
35643 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Match_Greater_Than_Operator}@anchor{11d9}
35644 @deffn {Attribute} Match_Greater_Than_Operator  =  170
35645 @end deffn
35647 @geindex Match_Greater_Than_Or_Equal_Operator (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
35648 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Match_Greater_Than_Or_Equal_Operator}@anchor{11da}
35649 @deffn {Attribute} Match_Greater_Than_Or_Equal_Operator  =  171
35650 @end deffn
35652 @geindex Sll_Operator (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
35653 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Sll_Operator}@anchor{11db}
35654 @deffn {Attribute} Sll_Operator  =  172
35655 @end deffn
35657 @geindex Sla_Operator (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
35658 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Sla_Operator}@anchor{11dc}
35659 @deffn {Attribute} Sla_Operator  =  173
35660 @end deffn
35662 @geindex Srl_Operator (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
35663 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Srl_Operator}@anchor{11dd}
35664 @deffn {Attribute} Srl_Operator  =  174
35665 @end deffn
35667 @geindex Sra_Operator (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
35668 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Sra_Operator}@anchor{11de}
35669 @deffn {Attribute} Sra_Operator  =  175
35670 @end deffn
35672 @geindex Rol_Operator (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
35673 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Rol_Operator}@anchor{11df}
35674 @deffn {Attribute} Rol_Operator  =  176
35675 @end deffn
35677 @geindex Ror_Operator (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
35678 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Ror_Operator}@anchor{11e0}
35679 @deffn {Attribute} Ror_Operator  =  177
35680 @end deffn
35682 @geindex Addition_Operator (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
35683 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Addition_Operator}@anchor{11e1}
35684 @deffn {Attribute} Addition_Operator  =  178
35685 @end deffn
35687 @geindex Substraction_Operator (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
35688 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Substraction_Operator}@anchor{11e2}
35689 @deffn {Attribute} Substraction_Operator  =  179
35690 @end deffn
35692 @geindex Concatenation_Operator (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
35693 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Concatenation_Operator}@anchor{11e3}
35694 @deffn {Attribute} Concatenation_Operator  =  180
35695 @end deffn
35697 @geindex Multiplication_Operator (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
35698 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Multiplication_Operator}@anchor{11e4}
35699 @deffn {Attribute} Multiplication_Operator  =  181
35700 @end deffn
35702 @geindex Division_Operator (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
35703 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Division_Operator}@anchor{11e5}
35704 @deffn {Attribute} Division_Operator  =  182
35705 @end deffn
35707 @geindex Modulus_Operator (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
35708 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Modulus_Operator}@anchor{11e6}
35709 @deffn {Attribute} Modulus_Operator  =  183
35710 @end deffn
35712 @geindex Remainder_Operator (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
35713 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Remainder_Operator}@anchor{11e7}
35714 @deffn {Attribute} Remainder_Operator  =  184
35715 @end deffn
35717 @geindex Exponentiation_Operator (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
35718 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Exponentiation_Operator}@anchor{11e8}
35719 @deffn {Attribute} Exponentiation_Operator  =  185
35720 @end deffn
35722 @geindex Function_Call (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
35723 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Function_Call}@anchor{11e9}
35724 @deffn {Attribute} Function_Call  =  186
35725 @end deffn
35727 @geindex Aggregate (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
35728 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Aggregate}@anchor{11ea}
35729 @deffn {Attribute} Aggregate  =  187
35730 @end deffn
35732 @geindex Parenthesis_Expression (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
35733 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Parenthesis_Expression}@anchor{11eb}
35734 @deffn {Attribute} Parenthesis_Expression  =  188
35735 @end deffn
35737 @geindex Qualified_Expression (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
35738 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Qualified_Expression}@anchor{11ec}
35739 @deffn {Attribute} Qualified_Expression  =  189
35740 @end deffn
35742 @geindex Type_Conversion (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
35743 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Type_Conversion}@anchor{11ed}
35744 @deffn {Attribute} Type_Conversion  =  190
35745 @end deffn
35747 @geindex Allocator_By_Expression (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
35748 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Allocator_By_Expression}@anchor{11ee}
35749 @deffn {Attribute} Allocator_By_Expression  =  191
35750 @end deffn
35752 @geindex Allocator_By_Subtype (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
35753 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Allocator_By_Subtype}@anchor{11ef}
35754 @deffn {Attribute} Allocator_By_Subtype  =  192
35755 @end deffn
35757 @geindex Selected_Element (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
35758 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Selected_Element}@anchor{11f0}
35759 @deffn {Attribute} Selected_Element  =  193
35760 @end deffn
35762 @geindex Dereference (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
35763 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Dereference}@anchor{11f1}
35764 @deffn {Attribute} Dereference  =  194
35765 @end deffn
35767 @geindex Implicit_Dereference (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
35768 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Implicit_Dereference}@anchor{11f2}
35769 @deffn {Attribute} Implicit_Dereference  =  195
35770 @end deffn
35772 @geindex Slice_Name (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
35773 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Slice_Name}@anchor{11f3}
35774 @deffn {Attribute} Slice_Name  =  196
35775 @end deffn
35777 @geindex Indexed_Name (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
35778 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Indexed_Name}@anchor{11f4}
35779 @deffn {Attribute} Indexed_Name  =  197
35780 @end deffn
35782 @geindex Psl_Prev (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
35783 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Psl_Prev}@anchor{11f5}
35784 @deffn {Attribute} Psl_Prev  =  198
35785 @end deffn
35787 @geindex Psl_Stable (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
35788 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Psl_Stable}@anchor{11f6}
35789 @deffn {Attribute} Psl_Stable  =  199
35790 @end deffn
35792 @geindex Psl_Rose (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
35793 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Psl_Rose}@anchor{11f7}
35794 @deffn {Attribute} Psl_Rose  =  200
35795 @end deffn
35797 @geindex Psl_Fell (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
35798 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Psl_Fell}@anchor{11f8}
35799 @deffn {Attribute} Psl_Fell  =  201
35800 @end deffn
35802 @geindex Psl_Onehot (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
35803 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Psl_Onehot}@anchor{11f9}
35804 @deffn {Attribute} Psl_Onehot  =  202
35805 @end deffn
35807 @geindex Psl_Onehot0 (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
35808 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Psl_Onehot0}@anchor{11fa}
35809 @deffn {Attribute} Psl_Onehot0  =  203
35810 @end deffn
35812 @geindex Psl_Expression (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
35813 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Psl_Expression}@anchor{11fb}
35814 @deffn {Attribute} Psl_Expression  =  204
35815 @end deffn
35817 @geindex Sensitized_Process_Statement (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
35818 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Sensitized_Process_Statement}@anchor{11fc}
35819 @deffn {Attribute} Sensitized_Process_Statement  =  205
35820 @end deffn
35822 @geindex Process_Statement (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
35823 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Process_Statement}@anchor{11fd}
35824 @deffn {Attribute} Process_Statement  =  206
35825 @end deffn
35827 @geindex Concurrent_Simple_Signal_Assignment (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
35828 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Concurrent_Simple_Signal_Assignment}@anchor{11fe}
35829 @deffn {Attribute} Concurrent_Simple_Signal_Assignment  =  207
35830 @end deffn
35832 @geindex Concurrent_Conditional_Signal_Assignment (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
35833 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Concurrent_Conditional_Signal_Assignment}@anchor{11ff}
35834 @deffn {Attribute} Concurrent_Conditional_Signal_Assignment  =  208
35835 @end deffn
35837 @geindex Concurrent_Selected_Signal_Assignment (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
35838 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Concurrent_Selected_Signal_Assignment}@anchor{1200}
35839 @deffn {Attribute} Concurrent_Selected_Signal_Assignment  =  209
35840 @end deffn
35842 @geindex Concurrent_Assertion_Statement (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
35843 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Concurrent_Assertion_Statement}@anchor{1201}
35844 @deffn {Attribute} Concurrent_Assertion_Statement  =  210
35845 @end deffn
35847 @geindex Concurrent_Procedure_Call_Statement (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
35848 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Concurrent_Procedure_Call_Statement}@anchor{1202}
35849 @deffn {Attribute} Concurrent_Procedure_Call_Statement  =  211
35850 @end deffn
35852 @geindex Concurrent_Break_Statement (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
35853 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Concurrent_Break_Statement}@anchor{1203}
35854 @deffn {Attribute} Concurrent_Break_Statement  =  212
35855 @end deffn
35857 @geindex Psl_Assert_Directive (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
35858 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Psl_Assert_Directive}@anchor{1204}
35859 @deffn {Attribute} Psl_Assert_Directive  =  213
35860 @end deffn
35862 @geindex Psl_Assume_Directive (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
35863 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Psl_Assume_Directive}@anchor{1205}
35864 @deffn {Attribute} Psl_Assume_Directive  =  214
35865 @end deffn
35867 @geindex Psl_Cover_Directive (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
35868 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Psl_Cover_Directive}@anchor{1206}
35869 @deffn {Attribute} Psl_Cover_Directive  =  215
35870 @end deffn
35872 @geindex Psl_Restrict_Directive (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
35873 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Psl_Restrict_Directive}@anchor{1207}
35874 @deffn {Attribute} Psl_Restrict_Directive  =  216
35875 @end deffn
35877 @geindex Block_Statement (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
35878 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Block_Statement}@anchor{1208}
35879 @deffn {Attribute} Block_Statement  =  217
35880 @end deffn
35882 @geindex If_Generate_Statement (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
35883 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind If_Generate_Statement}@anchor{1209}
35884 @deffn {Attribute} If_Generate_Statement  =  218
35885 @end deffn
35887 @geindex Case_Generate_Statement (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
35888 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Case_Generate_Statement}@anchor{120a}
35889 @deffn {Attribute} Case_Generate_Statement  =  219
35890 @end deffn
35892 @geindex For_Generate_Statement (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
35893 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind For_Generate_Statement}@anchor{120b}
35894 @deffn {Attribute} For_Generate_Statement  =  220
35895 @end deffn
35897 @geindex Component_Instantiation_Statement (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
35898 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Component_Instantiation_Statement}@anchor{120c}
35899 @deffn {Attribute} Component_Instantiation_Statement  =  221
35900 @end deffn
35902 @geindex Psl_Default_Clock (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
35903 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Psl_Default_Clock}@anchor{120d}
35904 @deffn {Attribute} Psl_Default_Clock  =  222
35905 @end deffn
35907 @geindex Generate_Statement_Body (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
35908 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Generate_Statement_Body}@anchor{120e}
35909 @deffn {Attribute} Generate_Statement_Body  =  223
35910 @end deffn
35912 @geindex If_Generate_Else_Clause (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
35913 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind If_Generate_Else_Clause}@anchor{120f}
35914 @deffn {Attribute} If_Generate_Else_Clause  =  224
35915 @end deffn
35917 @geindex Simple_Simultaneous_Statement (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
35918 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Simple_Simultaneous_Statement}@anchor{1210}
35919 @deffn {Attribute} Simple_Simultaneous_Statement  =  225
35920 @end deffn
35922 @geindex Simultaneous_Null_Statement (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
35923 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Simultaneous_Null_Statement}@anchor{1211}
35924 @deffn {Attribute} Simultaneous_Null_Statement  =  226
35925 @end deffn
35927 @geindex Simultaneous_Procedural_Statement (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
35928 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Simultaneous_Procedural_Statement}@anchor{1212}
35929 @deffn {Attribute} Simultaneous_Procedural_Statement  =  227
35930 @end deffn
35932 @geindex Simultaneous_Case_Statement (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
35933 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Simultaneous_Case_Statement}@anchor{1213}
35934 @deffn {Attribute} Simultaneous_Case_Statement  =  228
35935 @end deffn
35937 @geindex Simultaneous_If_Statement (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
35938 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Simultaneous_If_Statement}@anchor{1214}
35939 @deffn {Attribute} Simultaneous_If_Statement  =  229
35940 @end deffn
35942 @geindex Simultaneous_Elsif (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
35943 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Simultaneous_Elsif}@anchor{1215}
35944 @deffn {Attribute} Simultaneous_Elsif  =  230
35945 @end deffn
35947 @geindex Simple_Signal_Assignment_Statement (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
35948 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Simple_Signal_Assignment_Statement}@anchor{1216}
35949 @deffn {Attribute} Simple_Signal_Assignment_Statement  =  231
35950 @end deffn
35952 @geindex Conditional_Signal_Assignment_Statement (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
35953 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Conditional_Signal_Assignment_Statement}@anchor{1217}
35954 @deffn {Attribute} Conditional_Signal_Assignment_Statement  =  232
35955 @end deffn
35957 @geindex Selected_Waveform_Assignment_Statement (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
35958 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Selected_Waveform_Assignment_Statement}@anchor{1218}
35959 @deffn {Attribute} Selected_Waveform_Assignment_Statement  =  233
35960 @end deffn
35962 @geindex Signal_Force_Assignment_Statement (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
35963 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Signal_Force_Assignment_Statement}@anchor{1219}
35964 @deffn {Attribute} Signal_Force_Assignment_Statement  =  234
35965 @end deffn
35967 @geindex Signal_Release_Assignment_Statement (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
35968 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Signal_Release_Assignment_Statement}@anchor{121a}
35969 @deffn {Attribute} Signal_Release_Assignment_Statement  =  235
35970 @end deffn
35972 @geindex Null_Statement (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
35973 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Null_Statement}@anchor{121b}
35974 @deffn {Attribute} Null_Statement  =  236
35975 @end deffn
35977 @geindex Assertion_Statement (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
35978 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Assertion_Statement}@anchor{121c}
35979 @deffn {Attribute} Assertion_Statement  =  237
35980 @end deffn
35982 @geindex Report_Statement (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
35983 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Report_Statement}@anchor{121d}
35984 @deffn {Attribute} Report_Statement  =  238
35985 @end deffn
35987 @geindex Wait_Statement (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
35988 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Wait_Statement}@anchor{121e}
35989 @deffn {Attribute} Wait_Statement  =  239
35990 @end deffn
35992 @geindex Variable_Assignment_Statement (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
35993 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Variable_Assignment_Statement}@anchor{121f}
35994 @deffn {Attribute} Variable_Assignment_Statement  =  240
35995 @end deffn
35997 @geindex Conditional_Variable_Assignment_Statement (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
35998 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Conditional_Variable_Assignment_Statement}@anchor{1220}
35999 @deffn {Attribute} Conditional_Variable_Assignment_Statement  =  241
36000 @end deffn
36002 @geindex Return_Statement (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
36003 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Return_Statement}@anchor{1221}
36004 @deffn {Attribute} Return_Statement  =  242
36005 @end deffn
36007 @geindex For_Loop_Statement (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
36008 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind For_Loop_Statement}@anchor{1222}
36009 @deffn {Attribute} For_Loop_Statement  =  243
36010 @end deffn
36012 @geindex While_Loop_Statement (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
36013 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind While_Loop_Statement}@anchor{1223}
36014 @deffn {Attribute} While_Loop_Statement  =  244
36015 @end deffn
36017 @geindex Next_Statement (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
36018 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Next_Statement}@anchor{1224}
36019 @deffn {Attribute} Next_Statement  =  245
36020 @end deffn
36022 @geindex Exit_Statement (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
36023 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Exit_Statement}@anchor{1225}
36024 @deffn {Attribute} Exit_Statement  =  246
36025 @end deffn
36027 @geindex Case_Statement (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
36028 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Case_Statement}@anchor{1226}
36029 @deffn {Attribute} Case_Statement  =  247
36030 @end deffn
36032 @geindex Procedure_Call_Statement (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
36033 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Procedure_Call_Statement}@anchor{1227}
36034 @deffn {Attribute} Procedure_Call_Statement  =  248
36035 @end deffn
36037 @geindex Break_Statement (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
36038 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Break_Statement}@anchor{1228}
36039 @deffn {Attribute} Break_Statement  =  249
36040 @end deffn
36042 @geindex If_Statement (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
36043 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind If_Statement}@anchor{1229}
36044 @deffn {Attribute} If_Statement  =  250
36045 @end deffn
36047 @geindex Elsif (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
36048 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Elsif}@anchor{122a}
36049 @deffn {Attribute} Elsif  =  251
36050 @end deffn
36052 @geindex Character_Literal (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
36053 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Character_Literal}@anchor{122b}
36054 @deffn {Attribute} Character_Literal  =  252
36055 @end deffn
36057 @geindex Simple_Name (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
36058 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Simple_Name}@anchor{122c}
36059 @deffn {Attribute} Simple_Name  =  253
36060 @end deffn
36062 @geindex Selected_Name (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
36063 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Selected_Name}@anchor{122d}
36064 @deffn {Attribute} Selected_Name  =  254
36065 @end deffn
36067 @geindex Operator_Symbol (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
36068 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Operator_Symbol}@anchor{122e}
36069 @deffn {Attribute} Operator_Symbol  =  255
36070 @end deffn
36072 @geindex Reference_Name (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
36073 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Reference_Name}@anchor{122f}
36074 @deffn {Attribute} Reference_Name  =  256
36075 @end deffn
36077 @geindex External_Constant_Name (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
36078 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind External_Constant_Name}@anchor{1230}
36079 @deffn {Attribute} External_Constant_Name  =  257
36080 @end deffn
36082 @geindex External_Signal_Name (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
36083 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind External_Signal_Name}@anchor{1231}
36084 @deffn {Attribute} External_Signal_Name  =  258
36085 @end deffn
36087 @geindex External_Variable_Name (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
36088 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind External_Variable_Name}@anchor{1232}
36089 @deffn {Attribute} External_Variable_Name  =  259
36090 @end deffn
36092 @geindex Selected_By_All_Name (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
36093 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Selected_By_All_Name}@anchor{1233}
36094 @deffn {Attribute} Selected_By_All_Name  =  260
36095 @end deffn
36097 @geindex Parenthesis_Name (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
36098 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Parenthesis_Name}@anchor{1234}
36099 @deffn {Attribute} Parenthesis_Name  =  261
36100 @end deffn
36102 @geindex Package_Pathname (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
36103 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Package_Pathname}@anchor{1235}
36104 @deffn {Attribute} Package_Pathname  =  262
36105 @end deffn
36107 @geindex Absolute_Pathname (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
36108 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Absolute_Pathname}@anchor{1236}
36109 @deffn {Attribute} Absolute_Pathname  =  263
36110 @end deffn
36112 @geindex Relative_Pathname (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
36113 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Relative_Pathname}@anchor{1237}
36114 @deffn {Attribute} Relative_Pathname  =  264
36115 @end deffn
36117 @geindex Pathname_Element (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
36118 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Pathname_Element}@anchor{1238}
36119 @deffn {Attribute} Pathname_Element  =  265
36120 @end deffn
36122 @geindex Base_Attribute (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
36123 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Base_Attribute}@anchor{1239}
36124 @deffn {Attribute} Base_Attribute  =  266
36125 @end deffn
36127 @geindex Subtype_Attribute (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
36128 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Subtype_Attribute}@anchor{123a}
36129 @deffn {Attribute} Subtype_Attribute  =  267
36130 @end deffn
36132 @geindex Element_Attribute (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
36133 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Element_Attribute}@anchor{123b}
36134 @deffn {Attribute} Element_Attribute  =  268
36135 @end deffn
36137 @geindex Across_Attribute (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
36138 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Across_Attribute}@anchor{123c}
36139 @deffn {Attribute} Across_Attribute  =  269
36140 @end deffn
36142 @geindex Through_Attribute (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
36143 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Through_Attribute}@anchor{123d}
36144 @deffn {Attribute} Through_Attribute  =  270
36145 @end deffn
36147 @geindex Nature_Reference_Attribute (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
36148 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Nature_Reference_Attribute}@anchor{123e}
36149 @deffn {Attribute} Nature_Reference_Attribute  =  271
36150 @end deffn
36152 @geindex Left_Type_Attribute (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
36153 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Left_Type_Attribute}@anchor{123f}
36154 @deffn {Attribute} Left_Type_Attribute  =  272
36155 @end deffn
36157 @geindex Right_Type_Attribute (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
36158 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Right_Type_Attribute}@anchor{1240}
36159 @deffn {Attribute} Right_Type_Attribute  =  273
36160 @end deffn
36162 @geindex High_Type_Attribute (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
36163 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind High_Type_Attribute}@anchor{1241}
36164 @deffn {Attribute} High_Type_Attribute  =  274
36165 @end deffn
36167 @geindex Low_Type_Attribute (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
36168 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Low_Type_Attribute}@anchor{1242}
36169 @deffn {Attribute} Low_Type_Attribute  =  275
36170 @end deffn
36172 @geindex Ascending_Type_Attribute (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
36173 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Ascending_Type_Attribute}@anchor{1243}
36174 @deffn {Attribute} Ascending_Type_Attribute  =  276
36175 @end deffn
36177 @geindex Image_Attribute (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
36178 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Image_Attribute}@anchor{1244}
36179 @deffn {Attribute} Image_Attribute  =  277
36180 @end deffn
36182 @geindex Value_Attribute (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
36183 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Value_Attribute}@anchor{1245}
36184 @deffn {Attribute} Value_Attribute  =  278
36185 @end deffn
36187 @geindex Pos_Attribute (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
36188 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Pos_Attribute}@anchor{1246}
36189 @deffn {Attribute} Pos_Attribute  =  279
36190 @end deffn
36192 @geindex Val_Attribute (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
36193 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Val_Attribute}@anchor{1247}
36194 @deffn {Attribute} Val_Attribute  =  280
36195 @end deffn
36197 @geindex Succ_Attribute (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
36198 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Succ_Attribute}@anchor{1248}
36199 @deffn {Attribute} Succ_Attribute  =  281
36200 @end deffn
36202 @geindex Pred_Attribute (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
36203 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Pred_Attribute}@anchor{1249}
36204 @deffn {Attribute} Pred_Attribute  =  282
36205 @end deffn
36207 @geindex Leftof_Attribute (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
36208 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Leftof_Attribute}@anchor{124a}
36209 @deffn {Attribute} Leftof_Attribute  =  283
36210 @end deffn
36212 @geindex Rightof_Attribute (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
36213 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Rightof_Attribute}@anchor{124b}
36214 @deffn {Attribute} Rightof_Attribute  =  284
36215 @end deffn
36217 @geindex Signal_Slew_Attribute (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
36218 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Signal_Slew_Attribute}@anchor{124c}
36219 @deffn {Attribute} Signal_Slew_Attribute  =  285
36220 @end deffn
36222 @geindex Quantity_Slew_Attribute (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
36223 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Quantity_Slew_Attribute}@anchor{124d}
36224 @deffn {Attribute} Quantity_Slew_Attribute  =  286
36225 @end deffn
36227 @geindex Ramp_Attribute (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
36228 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Ramp_Attribute}@anchor{124e}
36229 @deffn {Attribute} Ramp_Attribute  =  287
36230 @end deffn
36232 @geindex Zoh_Attribute (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
36233 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Zoh_Attribute}@anchor{124f}
36234 @deffn {Attribute} Zoh_Attribute  =  288
36235 @end deffn
36237 @geindex Ltf_Attribute (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
36238 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Ltf_Attribute}@anchor{1250}
36239 @deffn {Attribute} Ltf_Attribute  =  289
36240 @end deffn
36242 @geindex Ztf_Attribute (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
36243 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Ztf_Attribute}@anchor{1251}
36244 @deffn {Attribute} Ztf_Attribute  =  290
36245 @end deffn
36247 @geindex Dot_Attribute (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
36248 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Dot_Attribute}@anchor{1252}
36249 @deffn {Attribute} Dot_Attribute  =  291
36250 @end deffn
36252 @geindex Integ_Attribute (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
36253 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Integ_Attribute}@anchor{1253}
36254 @deffn {Attribute} Integ_Attribute  =  292
36255 @end deffn
36257 @geindex Above_Attribute (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
36258 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Above_Attribute}@anchor{1254}
36259 @deffn {Attribute} Above_Attribute  =  293
36260 @end deffn
36262 @geindex Quantity_Delayed_Attribute (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
36263 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Quantity_Delayed_Attribute}@anchor{1255}
36264 @deffn {Attribute} Quantity_Delayed_Attribute  =  294
36265 @end deffn
36267 @geindex Delayed_Attribute (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
36268 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Delayed_Attribute}@anchor{1256}
36269 @deffn {Attribute} Delayed_Attribute  =  295
36270 @end deffn
36272 @geindex Stable_Attribute (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
36273 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Stable_Attribute}@anchor{1257}
36274 @deffn {Attribute} Stable_Attribute  =  296
36275 @end deffn
36277 @geindex Quiet_Attribute (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
36278 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Quiet_Attribute}@anchor{1258}
36279 @deffn {Attribute} Quiet_Attribute  =  297
36280 @end deffn
36282 @geindex Transaction_Attribute (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
36283 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Transaction_Attribute}@anchor{1259}
36284 @deffn {Attribute} Transaction_Attribute  =  298
36285 @end deffn
36287 @geindex Event_Attribute (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
36288 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Event_Attribute}@anchor{125a}
36289 @deffn {Attribute} Event_Attribute  =  299
36290 @end deffn
36292 @geindex Active_Attribute (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
36293 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Active_Attribute}@anchor{125b}
36294 @deffn {Attribute} Active_Attribute  =  300
36295 @end deffn
36297 @geindex Last_Event_Attribute (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
36298 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Last_Event_Attribute}@anchor{125c}
36299 @deffn {Attribute} Last_Event_Attribute  =  301
36300 @end deffn
36302 @geindex Last_Active_Attribute (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
36303 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Last_Active_Attribute}@anchor{125d}
36304 @deffn {Attribute} Last_Active_Attribute  =  302
36305 @end deffn
36307 @geindex Last_Value_Attribute (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
36308 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Last_Value_Attribute}@anchor{125e}
36309 @deffn {Attribute} Last_Value_Attribute  =  303
36310 @end deffn
36312 @geindex Driving_Attribute (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
36313 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Driving_Attribute}@anchor{125f}
36314 @deffn {Attribute} Driving_Attribute  =  304
36315 @end deffn
36317 @geindex Driving_Value_Attribute (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
36318 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Driving_Value_Attribute}@anchor{1260}
36319 @deffn {Attribute} Driving_Value_Attribute  =  305
36320 @end deffn
36322 @geindex Behavior_Attribute (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
36323 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Behavior_Attribute}@anchor{1261}
36324 @deffn {Attribute} Behavior_Attribute  =  306
36325 @end deffn
36327 @geindex Structure_Attribute (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
36328 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Structure_Attribute}@anchor{1262}
36329 @deffn {Attribute} Structure_Attribute  =  307
36330 @end deffn
36332 @geindex Simple_Name_Attribute (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
36333 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Simple_Name_Attribute}@anchor{1263}
36334 @deffn {Attribute} Simple_Name_Attribute  =  308
36335 @end deffn
36337 @geindex Instance_Name_Attribute (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
36338 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Instance_Name_Attribute}@anchor{1264}
36339 @deffn {Attribute} Instance_Name_Attribute  =  309
36340 @end deffn
36342 @geindex Path_Name_Attribute (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
36343 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Path_Name_Attribute}@anchor{1265}
36344 @deffn {Attribute} Path_Name_Attribute  =  310
36345 @end deffn
36347 @geindex Left_Array_Attribute (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
36348 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Left_Array_Attribute}@anchor{1266}
36349 @deffn {Attribute} Left_Array_Attribute  =  311
36350 @end deffn
36352 @geindex Right_Array_Attribute (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
36353 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Right_Array_Attribute}@anchor{1267}
36354 @deffn {Attribute} Right_Array_Attribute  =  312
36355 @end deffn
36357 @geindex High_Array_Attribute (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
36358 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind High_Array_Attribute}@anchor{1268}
36359 @deffn {Attribute} High_Array_Attribute  =  313
36360 @end deffn
36362 @geindex Low_Array_Attribute (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
36363 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Low_Array_Attribute}@anchor{1269}
36364 @deffn {Attribute} Low_Array_Attribute  =  314
36365 @end deffn
36367 @geindex Length_Array_Attribute (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
36368 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Length_Array_Attribute}@anchor{126a}
36369 @deffn {Attribute} Length_Array_Attribute  =  315
36370 @end deffn
36372 @geindex Ascending_Array_Attribute (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
36373 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Ascending_Array_Attribute}@anchor{126b}
36374 @deffn {Attribute} Ascending_Array_Attribute  =  316
36375 @end deffn
36377 @geindex Range_Array_Attribute (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
36378 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Range_Array_Attribute}@anchor{126c}
36379 @deffn {Attribute} Range_Array_Attribute  =  317
36380 @end deffn
36382 @geindex Reverse_Range_Array_Attribute (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
36383 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Reverse_Range_Array_Attribute}@anchor{126d}
36384 @deffn {Attribute} Reverse_Range_Array_Attribute  =  318
36385 @end deffn
36387 @geindex Attribute_Name (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
36388 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Attribute_Name}@anchor{126e}
36389 @deffn {Attribute} Attribute_Name  =  319
36390 @end deffn
36391 @end deffn
36393 @geindex Iir_Kinds (class in pyGHDL.libghdl.vhdl.nodes)
36394 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kinds}@anchor{e3c}
36395 @deffn {Class} pyGHDL.libghdl.vhdl.nodes.Iir_Kinds
36397 @subsubheading Inheritance
36399 @image{inheritance-f9eb2c0f32773b6d6194b4ca03831243ef08158e,,,[graphviz],png}
36401 @subsubheading Members
36404 @geindex Library_Unit (pyGHDL.libghdl.vhdl.nodes.Iir_Kinds attribute)
36405 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kinds Library_Unit}@anchor{126f}
36406 @deffn {Attribute} Library_Unit  =  [<Iir_Kind.Foreign_Module: 83>, <Iir_Kind.Entity_Declaration: 84>, <Iir_Kind.Configuration_Declaration: 85>, <Iir_Kind.Context_Declaration: 86>, <Iir_Kind.Package_Declaration: 87>, <Iir_Kind.Package_Instantiation_Declaration: 88>, <Iir_Kind.Vmode_Declaration: 89>, <Iir_Kind.Vprop_Declaration: 90>, <Iir_Kind.Vunit_Declaration: 91>, <Iir_Kind.Package_Body: 92>, <Iir_Kind.Architecture_Body: 93>]
36407 @end deffn
36409 @geindex Primary_Unit (pyGHDL.libghdl.vhdl.nodes.Iir_Kinds attribute)
36410 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kinds Primary_Unit}@anchor{1270}
36411 @deffn {Attribute} Primary_Unit  =  [<Iir_Kind.Entity_Declaration: 84>, <Iir_Kind.Configuration_Declaration: 85>, <Iir_Kind.Context_Declaration: 86>, <Iir_Kind.Package_Declaration: 87>, <Iir_Kind.Package_Instantiation_Declaration: 88>, <Iir_Kind.Vmode_Declaration: 89>, <Iir_Kind.Vprop_Declaration: 90>, <Iir_Kind.Vunit_Declaration: 91>]
36412 @end deffn
36414 @geindex Secondary_Unit (pyGHDL.libghdl.vhdl.nodes.Iir_Kinds attribute)
36415 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kinds Secondary_Unit}@anchor{1271}
36416 @deffn {Attribute} Secondary_Unit  =  [<Iir_Kind.Package_Body: 92>, <Iir_Kind.Architecture_Body: 93>]
36417 @end deffn
36419 @geindex Package_Declaration (pyGHDL.libghdl.vhdl.nodes.Iir_Kinds attribute)
36420 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kinds Package_Declaration}@anchor{1272}
36421 @deffn {Attribute} Package_Declaration  =  [<Iir_Kind.Package_Declaration: 87>, <Iir_Kind.Package_Instantiation_Declaration: 88>]
36422 @end deffn
36424 @geindex Verification_Unit (pyGHDL.libghdl.vhdl.nodes.Iir_Kinds attribute)
36425 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kinds Verification_Unit}@anchor{1273}
36426 @deffn {Attribute} Verification_Unit  =  [<Iir_Kind.Vmode_Declaration: 89>, <Iir_Kind.Vprop_Declaration: 90>, <Iir_Kind.Vunit_Declaration: 91>]
36427 @end deffn
36429 @geindex Literal (pyGHDL.libghdl.vhdl.nodes.Iir_Kinds attribute)
36430 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kinds Literal}@anchor{1274}
36431 @deffn {Attribute} Literal  =  [<Iir_Kind.Integer_Literal: 8>, <Iir_Kind.Floating_Point_Literal: 9>, <Iir_Kind.Null_Literal: 10>, <Iir_Kind.String_Literal8: 11>, <Iir_Kind.Physical_Int_Literal: 12>, <Iir_Kind.Physical_Fp_Literal: 13>]
36432 @end deffn
36434 @geindex Physical_Literal (pyGHDL.libghdl.vhdl.nodes.Iir_Kinds attribute)
36435 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kinds Physical_Literal}@anchor{1275}
36436 @deffn {Attribute} Physical_Literal  =  [<Iir_Kind.Physical_Int_Literal: 12>, <Iir_Kind.Physical_Fp_Literal: 13>]
36437 @end deffn
36439 @geindex Array_Type_Definition (pyGHDL.libghdl.vhdl.nodes.Iir_Kinds attribute)
36440 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kinds Array_Type_Definition}@anchor{1276}
36441 @deffn {Attribute} Array_Type_Definition  =  [<Iir_Kind.Array_Type_Definition: 61>, <Iir_Kind.Array_Subtype_Definition: 62>]
36442 @end deffn
36444 @geindex Type_And_Subtype_Definition (pyGHDL.libghdl.vhdl.nodes.Iir_Kinds attribute)
36445 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kinds Type_And_Subtype_Definition}@anchor{1277}
36446 @deffn {Attribute} Type_And_Subtype_Definition  =  [<Iir_Kind.Access_Type_Definition: 55>, <Iir_Kind.Incomplete_Type_Definition: 56>, <Iir_Kind.Interface_Type_Definition: 57>, <Iir_Kind.File_Type_Definition: 58>, <Iir_Kind.Protected_Type_Declaration: 59>, <Iir_Kind.Record_Type_Definition: 60>, <Iir_Kind.Array_Type_Definition: 61>, <Iir_Kind.Array_Subtype_Definition: 62>, <Iir_Kind.Record_Subtype_Definition: 63>, <Iir_Kind.Access_Subtype_Definition: 64>, <Iir_Kind.Physical_Subtype_Definition: 65>, <Iir_Kind.Floating_Subtype_Definition: 66>, <Iir_Kind.Integer_Subtype_Definition: 67>, <Iir_Kind.Enumeration_Subtype_Definition: 68>, <Iir_Kind.Enumeration_Type_Definition: 69>, <Iir_Kind.Integer_Type_Definition: 70>, <Iir_Kind.Floating_Type_Definition: 71>, <Iir_Kind.Physical_Type_Definition: 72>]
36447 @end deffn
36449 @geindex Subtype_Definition (pyGHDL.libghdl.vhdl.nodes.Iir_Kinds attribute)
36450 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kinds Subtype_Definition}@anchor{1278}
36451 @deffn {Attribute} Subtype_Definition  =  [<Iir_Kind.Array_Subtype_Definition: 62>, <Iir_Kind.Record_Subtype_Definition: 63>, <Iir_Kind.Access_Subtype_Definition: 64>, <Iir_Kind.Physical_Subtype_Definition: 65>, <Iir_Kind.Floating_Subtype_Definition: 66>, <Iir_Kind.Integer_Subtype_Definition: 67>, <Iir_Kind.Enumeration_Subtype_Definition: 68>]
36452 @end deffn
36454 @geindex Scalar_Subtype_Definition (pyGHDL.libghdl.vhdl.nodes.Iir_Kinds attribute)
36455 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kinds Scalar_Subtype_Definition}@anchor{1279}
36456 @deffn {Attribute} Scalar_Subtype_Definition  =  [<Iir_Kind.Physical_Subtype_Definition: 65>, <Iir_Kind.Floating_Subtype_Definition: 66>, <Iir_Kind.Integer_Subtype_Definition: 67>, <Iir_Kind.Enumeration_Subtype_Definition: 68>]
36457 @end deffn
36459 @geindex Scalar_Type_And_Subtype_Definition (pyGHDL.libghdl.vhdl.nodes.Iir_Kinds attribute)
36460 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kinds Scalar_Type_And_Subtype_Definition}@anchor{127a}
36461 @deffn {Attribute} Scalar_Type_And_Subtype_Definition  =  [<Iir_Kind.Physical_Subtype_Definition: 65>, <Iir_Kind.Floating_Subtype_Definition: 66>, <Iir_Kind.Integer_Subtype_Definition: 67>, <Iir_Kind.Enumeration_Subtype_Definition: 68>, <Iir_Kind.Enumeration_Type_Definition: 69>, <Iir_Kind.Integer_Type_Definition: 70>, <Iir_Kind.Floating_Type_Definition: 71>, <Iir_Kind.Physical_Type_Definition: 72>]
36462 @end deffn
36464 @geindex Range_Type_Definition (pyGHDL.libghdl.vhdl.nodes.Iir_Kinds attribute)
36465 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kinds Range_Type_Definition}@anchor{127b}
36466 @deffn {Attribute} Range_Type_Definition  =  [<Iir_Kind.Physical_Subtype_Definition: 65>, <Iir_Kind.Floating_Subtype_Definition: 66>, <Iir_Kind.Integer_Subtype_Definition: 67>, <Iir_Kind.Enumeration_Subtype_Definition: 68>, <Iir_Kind.Enumeration_Type_Definition: 69>]
36467 @end deffn
36469 @geindex Discrete_Type_Definition (pyGHDL.libghdl.vhdl.nodes.Iir_Kinds attribute)
36470 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kinds Discrete_Type_Definition}@anchor{127c}
36471 @deffn {Attribute} Discrete_Type_Definition  =  [<Iir_Kind.Integer_Subtype_Definition: 67>, <Iir_Kind.Enumeration_Subtype_Definition: 68>, <Iir_Kind.Enumeration_Type_Definition: 69>, <Iir_Kind.Integer_Type_Definition: 70>]
36472 @end deffn
36474 @geindex Composite_Type_Definition (pyGHDL.libghdl.vhdl.nodes.Iir_Kinds attribute)
36475 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kinds Composite_Type_Definition}@anchor{127d}
36476 @deffn {Attribute} Composite_Type_Definition  =  [<Iir_Kind.Record_Type_Definition: 60>, <Iir_Kind.Array_Type_Definition: 61>, <Iir_Kind.Array_Subtype_Definition: 62>, <Iir_Kind.Record_Subtype_Definition: 63>]
36477 @end deffn
36479 @geindex Composite_Subtype_Definition (pyGHDL.libghdl.vhdl.nodes.Iir_Kinds attribute)
36480 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kinds Composite_Subtype_Definition}@anchor{127e}
36481 @deffn {Attribute} Composite_Subtype_Definition  =  [<Iir_Kind.Array_Subtype_Definition: 62>, <Iir_Kind.Record_Subtype_Definition: 63>]
36482 @end deffn
36484 @geindex Type_Declaration (pyGHDL.libghdl.vhdl.nodes.Iir_Kinds attribute)
36485 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kinds Type_Declaration}@anchor{127f}
36486 @deffn {Attribute} Type_Declaration  =  [<Iir_Kind.Type_Declaration: 94>, <Iir_Kind.Anonymous_Type_Declaration: 95>, <Iir_Kind.Subtype_Declaration: 96>]
36487 @end deffn
36489 @geindex Nature_Definition (pyGHDL.libghdl.vhdl.nodes.Iir_Kinds attribute)
36490 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kinds Nature_Definition}@anchor{1280}
36491 @deffn {Attribute} Nature_Definition  =  [<Iir_Kind.Scalar_Nature_Definition: 78>, <Iir_Kind.Record_Nature_Definition: 79>, <Iir_Kind.Array_Nature_Definition: 80>]
36492 @end deffn
36494 @geindex Subnature_Definition (pyGHDL.libghdl.vhdl.nodes.Iir_Kinds attribute)
36495 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kinds Subnature_Definition}@anchor{1281}
36496 @deffn {Attribute} Subnature_Definition  =  [<Iir_Kind.Array_Subnature_Definition: 81>]
36497 @end deffn
36499 @geindex Nature_Indication (pyGHDL.libghdl.vhdl.nodes.Iir_Kinds attribute)
36500 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kinds Nature_Indication}@anchor{1282}
36501 @deffn {Attribute} Nature_Indication  =  [<Iir_Kind.Scalar_Nature_Definition: 78>, <Iir_Kind.Record_Nature_Definition: 79>, <Iir_Kind.Array_Nature_Definition: 80>, <Iir_Kind.Array_Subnature_Definition: 81>]
36502 @end deffn
36504 @geindex Nonoverloadable_Declaration (pyGHDL.libghdl.vhdl.nodes.Iir_Kinds attribute)
36505 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kinds Nonoverloadable_Declaration}@anchor{1283}
36506 @deffn {Attribute} Nonoverloadable_Declaration  =  [<Iir_Kind.Type_Declaration: 94>, <Iir_Kind.Anonymous_Type_Declaration: 95>, <Iir_Kind.Subtype_Declaration: 96>, <Iir_Kind.Nature_Declaration: 97>, <Iir_Kind.Subnature_Declaration: 98>, <Iir_Kind.Package_Header: 99>, <Iir_Kind.Unit_Declaration: 100>, <Iir_Kind.Library_Declaration: 101>, <Iir_Kind.Component_Declaration: 102>, <Iir_Kind.Attribute_Declaration: 103>, <Iir_Kind.Group_Template_Declaration: 104>, <Iir_Kind.Group_Declaration: 105>, <Iir_Kind.Element_Declaration: 106>, <Iir_Kind.Nature_Element_Declaration: 107>]
36507 @end deffn
36509 @geindex Monadic_Operator (pyGHDL.libghdl.vhdl.nodes.Iir_Kinds attribute)
36510 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kinds Monadic_Operator}@anchor{1284}
36511 @deffn {Attribute} Monadic_Operator  =  [<Iir_Kind.Identity_Operator: 142>, <Iir_Kind.Negation_Operator: 143>, <Iir_Kind.Absolute_Operator: 144>, <Iir_Kind.Not_Operator: 145>, <Iir_Kind.Implicit_Condition_Operator: 146>, <Iir_Kind.Condition_Operator: 147>, <Iir_Kind.Reduction_And_Operator: 148>, <Iir_Kind.Reduction_Or_Operator: 149>, <Iir_Kind.Reduction_Nand_Operator: 150>, <Iir_Kind.Reduction_Nor_Operator: 151>, <Iir_Kind.Reduction_Xor_Operator: 152>, <Iir_Kind.Reduction_Xnor_Operator: 153>]
36512 @end deffn
36514 @geindex Dyadic_Operator (pyGHDL.libghdl.vhdl.nodes.Iir_Kinds attribute)
36515 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kinds Dyadic_Operator}@anchor{1285}
36516 @deffn {Attribute} Dyadic_Operator  =  [<Iir_Kind.And_Operator: 154>, <Iir_Kind.Or_Operator: 155>, <Iir_Kind.Nand_Operator: 156>, <Iir_Kind.Nor_Operator: 157>, <Iir_Kind.Xor_Operator: 158>, <Iir_Kind.Xnor_Operator: 159>, <Iir_Kind.Equality_Operator: 160>, <Iir_Kind.Inequality_Operator: 161>, <Iir_Kind.Less_Than_Operator: 162>, <Iir_Kind.Less_Than_Or_Equal_Operator: 163>, <Iir_Kind.Greater_Than_Operator: 164>, <Iir_Kind.Greater_Than_Or_Equal_Operator: 165>, <Iir_Kind.Match_Equality_Operator: 166>, <Iir_Kind.Match_Inequality_Operator: 167>, <Iir_Kind.Match_Less_Than_Operator: 168>, <Iir_Kind.Match_Less_Than_Or_Equal_Operator: 169>, <Iir_Kind.Match_Greater_Than_Operator: 170>, <Iir_Kind.Match_Greater_Than_Or_Equal_Operator: 171>, <Iir_Kind.Sll_Operator: 172>, <Iir_Kind.Sla_Operator: 173>, <Iir_Kind.Srl_Operator: 174>, <Iir_Kind.Sra_Operator: 175>, <Iir_Kind.Rol_Operator: 176>, <Iir_Kind.Ror_Operator: 177>, <Iir_Kind.Addition_Operator: 178>, <Iir_Kind.Substraction_Operator: 179>, <Iir_Kind.Concatenation_Operator: 180>, <Iir_Kind.Multiplication_Operator: 181>, <Iir_Kind.Division_Operator: 182>, <Iir_Kind.Modulus_Operator: 183>, <Iir_Kind.Remainder_Operator: 184>, <Iir_Kind.Exponentiation_Operator: 185>]
36517 @end deffn
36519 @geindex Psl_Builtin (pyGHDL.libghdl.vhdl.nodes.Iir_Kinds attribute)
36520 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kinds Psl_Builtin}@anchor{1286}
36521 @deffn {Attribute} Psl_Builtin  =  [<Iir_Kind.Psl_Prev: 198>, <Iir_Kind.Psl_Stable: 199>, <Iir_Kind.Psl_Rose: 200>, <Iir_Kind.Psl_Fell: 201>, <Iir_Kind.Psl_Onehot: 202>, <Iir_Kind.Psl_Onehot0: 203>]
36522 @end deffn
36524 @geindex Functions_And_Literals (pyGHDL.libghdl.vhdl.nodes.Iir_Kinds attribute)
36525 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kinds Functions_And_Literals}@anchor{1287}
36526 @deffn {Attribute} Functions_And_Literals  =  [<Iir_Kind.Enumeration_Literal: 111>, <Iir_Kind.Function_Declaration: 112>]
36527 @end deffn
36529 @geindex Subprogram_Declaration (pyGHDL.libghdl.vhdl.nodes.Iir_Kinds attribute)
36530 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kinds Subprogram_Declaration}@anchor{1288}
36531 @deffn {Attribute} Subprogram_Declaration  =  [<Iir_Kind.Function_Declaration: 112>, <Iir_Kind.Procedure_Declaration: 113>]
36532 @end deffn
36534 @geindex Subprogram_Body (pyGHDL.libghdl.vhdl.nodes.Iir_Kinds attribute)
36535 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kinds Subprogram_Body}@anchor{1289}
36536 @deffn {Attribute} Subprogram_Body  =  [<Iir_Kind.Function_Body: 114>, <Iir_Kind.Procedure_Body: 115>]
36537 @end deffn
36539 @geindex Process_Statement (pyGHDL.libghdl.vhdl.nodes.Iir_Kinds attribute)
36540 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kinds Process_Statement}@anchor{128a}
36541 @deffn {Attribute} Process_Statement  =  [<Iir_Kind.Sensitized_Process_Statement: 205>, <Iir_Kind.Process_Statement: 206>]
36542 @end deffn
36544 @geindex Interface_Object_Declaration (pyGHDL.libghdl.vhdl.nodes.Iir_Kinds attribute)
36545 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kinds Interface_Object_Declaration}@anchor{128b}
36546 @deffn {Attribute} Interface_Object_Declaration  =  [<Iir_Kind.Interface_Constant_Declaration: 131>, <Iir_Kind.Interface_Variable_Declaration: 132>, <Iir_Kind.Interface_Signal_Declaration: 133>, <Iir_Kind.Interface_File_Declaration: 134>, <Iir_Kind.Interface_Quantity_Declaration: 135>]
36547 @end deffn
36549 @geindex Interface_Subprogram_Declaration (pyGHDL.libghdl.vhdl.nodes.Iir_Kinds attribute)
36550 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kinds Interface_Subprogram_Declaration}@anchor{128c}
36551 @deffn {Attribute} Interface_Subprogram_Declaration  =  [<Iir_Kind.Interface_Function_Declaration: 139>, <Iir_Kind.Interface_Procedure_Declaration: 140>]
36552 @end deffn
36554 @geindex Interface_Declaration (pyGHDL.libghdl.vhdl.nodes.Iir_Kinds attribute)
36555 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kinds Interface_Declaration}@anchor{128d}
36556 @deffn {Attribute} Interface_Declaration  =  [<Iir_Kind.Interface_Constant_Declaration: 131>, <Iir_Kind.Interface_Variable_Declaration: 132>, <Iir_Kind.Interface_Signal_Declaration: 133>, <Iir_Kind.Interface_File_Declaration: 134>, <Iir_Kind.Interface_Quantity_Declaration: 135>, <Iir_Kind.Interface_Terminal_Declaration: 136>, <Iir_Kind.Interface_Type_Declaration: 137>, <Iir_Kind.Interface_Package_Declaration: 138>, <Iir_Kind.Interface_Function_Declaration: 139>, <Iir_Kind.Interface_Procedure_Declaration: 140>]
36557 @end deffn
36559 @geindex Object_Declaration (pyGHDL.libghdl.vhdl.nodes.Iir_Kinds attribute)
36560 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kinds Object_Declaration}@anchor{128e}
36561 @deffn {Attribute} Object_Declaration  =  [<Iir_Kind.Object_Alias_Declaration: 119>, <Iir_Kind.Free_Quantity_Declaration: 120>, <Iir_Kind.Spectrum_Quantity_Declaration: 121>, <Iir_Kind.Noise_Quantity_Declaration: 122>, <Iir_Kind.Across_Quantity_Declaration: 123>, <Iir_Kind.Through_Quantity_Declaration: 124>, <Iir_Kind.File_Declaration: 125>, <Iir_Kind.Guard_Signal_Declaration: 126>, <Iir_Kind.Signal_Declaration: 127>, <Iir_Kind.Variable_Declaration: 128>, <Iir_Kind.Constant_Declaration: 129>, <Iir_Kind.Iterator_Declaration: 130>, <Iir_Kind.Interface_Constant_Declaration: 131>, <Iir_Kind.Interface_Variable_Declaration: 132>, <Iir_Kind.Interface_Signal_Declaration: 133>, <Iir_Kind.Interface_File_Declaration: 134>, <Iir_Kind.Interface_Quantity_Declaration: 135>]
36562 @end deffn
36564 @geindex Branch_Quantity_Declaration (pyGHDL.libghdl.vhdl.nodes.Iir_Kinds attribute)
36565 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kinds Branch_Quantity_Declaration}@anchor{128f}
36566 @deffn {Attribute} Branch_Quantity_Declaration  =  [<Iir_Kind.Across_Quantity_Declaration: 123>, <Iir_Kind.Through_Quantity_Declaration: 124>]
36567 @end deffn
36569 @geindex Source_Quantity_Declaration (pyGHDL.libghdl.vhdl.nodes.Iir_Kinds attribute)
36570 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kinds Source_Quantity_Declaration}@anchor{1290}
36571 @deffn {Attribute} Source_Quantity_Declaration  =  [<Iir_Kind.Spectrum_Quantity_Declaration: 121>, <Iir_Kind.Noise_Quantity_Declaration: 122>]
36572 @end deffn
36574 @geindex Quantity_Declaration (pyGHDL.libghdl.vhdl.nodes.Iir_Kinds attribute)
36575 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kinds Quantity_Declaration}@anchor{1291}
36576 @deffn {Attribute} Quantity_Declaration  =  [<Iir_Kind.Free_Quantity_Declaration: 120>, <Iir_Kind.Spectrum_Quantity_Declaration: 121>, <Iir_Kind.Noise_Quantity_Declaration: 122>, <Iir_Kind.Across_Quantity_Declaration: 123>, <Iir_Kind.Through_Quantity_Declaration: 124>]
36577 @end deffn
36579 @geindex Non_Alias_Object_Declaration (pyGHDL.libghdl.vhdl.nodes.Iir_Kinds attribute)
36580 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kinds Non_Alias_Object_Declaration}@anchor{1292}
36581 @deffn {Attribute} Non_Alias_Object_Declaration  =  [<Iir_Kind.File_Declaration: 125>, <Iir_Kind.Guard_Signal_Declaration: 126>, <Iir_Kind.Signal_Declaration: 127>, <Iir_Kind.Variable_Declaration: 128>, <Iir_Kind.Constant_Declaration: 129>, <Iir_Kind.Iterator_Declaration: 130>, <Iir_Kind.Interface_Constant_Declaration: 131>, <Iir_Kind.Interface_Variable_Declaration: 132>, <Iir_Kind.Interface_Signal_Declaration: 133>, <Iir_Kind.Interface_File_Declaration: 134>]
36582 @end deffn
36584 @geindex Association_Element_Parameters (pyGHDL.libghdl.vhdl.nodes.Iir_Kinds attribute)
36585 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kinds Association_Element_Parameters}@anchor{1293}
36586 @deffn {Attribute} Association_Element_Parameters  =  [<Iir_Kind.Association_Element_By_Expression: 20>, <Iir_Kind.Association_Element_By_Name: 21>, <Iir_Kind.Association_Element_By_Individual: 22>, <Iir_Kind.Association_Element_Open: 23>]
36587 @end deffn
36589 @geindex Association_Element_By_Actual (pyGHDL.libghdl.vhdl.nodes.Iir_Kinds attribute)
36590 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kinds Association_Element_By_Actual}@anchor{1294}
36591 @deffn {Attribute} Association_Element_By_Actual  =  [<Iir_Kind.Association_Element_By_Expression: 20>, <Iir_Kind.Association_Element_By_Name: 21>]
36592 @end deffn
36594 @geindex Association_Element (pyGHDL.libghdl.vhdl.nodes.Iir_Kinds attribute)
36595 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kinds Association_Element}@anchor{1295}
36596 @deffn {Attribute} Association_Element  =  [<Iir_Kind.Association_Element_By_Expression: 20>, <Iir_Kind.Association_Element_By_Name: 21>, <Iir_Kind.Association_Element_By_Individual: 22>, <Iir_Kind.Association_Element_Open: 23>, <Iir_Kind.Association_Element_Package: 24>, <Iir_Kind.Association_Element_Type: 25>, <Iir_Kind.Association_Element_Subprogram: 26>, <Iir_Kind.Association_Element_Terminal: 27>]
36597 @end deffn
36599 @geindex Choice (pyGHDL.libghdl.vhdl.nodes.Iir_Kinds attribute)
36600 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kinds Choice}@anchor{1296}
36601 @deffn {Attribute} Choice  =  [<Iir_Kind.Choice_By_Range: 28>, <Iir_Kind.Choice_By_Expression: 29>, <Iir_Kind.Choice_By_Others: 30>, <Iir_Kind.Choice_By_None: 31>, <Iir_Kind.Choice_By_Name: 32>]
36602 @end deffn
36604 @geindex Case_Choice (pyGHDL.libghdl.vhdl.nodes.Iir_Kinds attribute)
36605 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kinds Case_Choice}@anchor{1297}
36606 @deffn {Attribute} Case_Choice  =  [<Iir_Kind.Choice_By_Range: 28>, <Iir_Kind.Choice_By_Expression: 29>, <Iir_Kind.Choice_By_Others: 30>]
36607 @end deffn
36609 @geindex Array_Choice (pyGHDL.libghdl.vhdl.nodes.Iir_Kinds attribute)
36610 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kinds Array_Choice}@anchor{1298}
36611 @deffn {Attribute} Array_Choice  =  [<Iir_Kind.Choice_By_Range: 28>, <Iir_Kind.Choice_By_Expression: 29>, <Iir_Kind.Choice_By_Others: 30>, <Iir_Kind.Choice_By_None: 31>]
36612 @end deffn
36614 @geindex Record_Choice (pyGHDL.libghdl.vhdl.nodes.Iir_Kinds attribute)
36615 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kinds Record_Choice}@anchor{1299}
36616 @deffn {Attribute} Record_Choice  =  [<Iir_Kind.Choice_By_Others: 30>, <Iir_Kind.Choice_By_None: 31>, <Iir_Kind.Choice_By_Name: 32>]
36617 @end deffn
36619 @geindex Entity_Aspect (pyGHDL.libghdl.vhdl.nodes.Iir_Kinds attribute)
36620 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kinds Entity_Aspect}@anchor{129a}
36621 @deffn {Attribute} Entity_Aspect  =  [<Iir_Kind.Entity_Aspect_Entity: 33>, <Iir_Kind.Entity_Aspect_Configuration: 34>, <Iir_Kind.Entity_Aspect_Open: 35>]
36622 @end deffn
36624 @geindex Denoting_Name (pyGHDL.libghdl.vhdl.nodes.Iir_Kinds attribute)
36625 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kinds Denoting_Name}@anchor{129b}
36626 @deffn {Attribute} Denoting_Name  =  [<Iir_Kind.Character_Literal: 252>, <Iir_Kind.Simple_Name: 253>, <Iir_Kind.Selected_Name: 254>, <Iir_Kind.Operator_Symbol: 255>, <Iir_Kind.Reference_Name: 256>]
36627 @end deffn
36629 @geindex Denoting_And_External_Name (pyGHDL.libghdl.vhdl.nodes.Iir_Kinds attribute)
36630 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kinds Denoting_And_External_Name}@anchor{129c}
36631 @deffn {Attribute} Denoting_And_External_Name  =  [<Iir_Kind.Character_Literal: 252>, <Iir_Kind.Simple_Name: 253>, <Iir_Kind.Selected_Name: 254>, <Iir_Kind.Operator_Symbol: 255>, <Iir_Kind.Reference_Name: 256>, <Iir_Kind.External_Constant_Name: 257>, <Iir_Kind.External_Signal_Name: 258>, <Iir_Kind.External_Variable_Name: 259>]
36632 @end deffn
36634 @geindex Name (pyGHDL.libghdl.vhdl.nodes.Iir_Kinds attribute)
36635 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kinds Name}@anchor{129d}
36636 @deffn {Attribute} Name  =  [<Iir_Kind.Character_Literal: 252>, <Iir_Kind.Simple_Name: 253>, <Iir_Kind.Selected_Name: 254>, <Iir_Kind.Operator_Symbol: 255>, <Iir_Kind.Reference_Name: 256>, <Iir_Kind.External_Constant_Name: 257>, <Iir_Kind.External_Signal_Name: 258>, <Iir_Kind.External_Variable_Name: 259>, <Iir_Kind.Selected_By_All_Name: 260>, <Iir_Kind.Parenthesis_Name: 261>]
36637 @end deffn
36639 @geindex Dereference (pyGHDL.libghdl.vhdl.nodes.Iir_Kinds attribute)
36640 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kinds Dereference}@anchor{129e}
36641 @deffn {Attribute} Dereference  =  [<Iir_Kind.Dereference: 194>, <Iir_Kind.Implicit_Dereference: 195>]
36642 @end deffn
36644 @geindex External_Name (pyGHDL.libghdl.vhdl.nodes.Iir_Kinds attribute)
36645 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kinds External_Name}@anchor{129f}
36646 @deffn {Attribute} External_Name  =  [<Iir_Kind.External_Constant_Name: 257>, <Iir_Kind.External_Signal_Name: 258>, <Iir_Kind.External_Variable_Name: 259>]
36647 @end deffn
36649 @geindex Expression_Attribute (pyGHDL.libghdl.vhdl.nodes.Iir_Kinds attribute)
36650 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kinds Expression_Attribute}@anchor{12a0}
36651 @deffn {Attribute} Expression_Attribute  =  [<Iir_Kind.Left_Type_Attribute: 272>, <Iir_Kind.Right_Type_Attribute: 273>, <Iir_Kind.High_Type_Attribute: 274>, <Iir_Kind.Low_Type_Attribute: 275>, <Iir_Kind.Ascending_Type_Attribute: 276>, <Iir_Kind.Image_Attribute: 277>, <Iir_Kind.Value_Attribute: 278>, <Iir_Kind.Pos_Attribute: 279>, <Iir_Kind.Val_Attribute: 280>, <Iir_Kind.Succ_Attribute: 281>, <Iir_Kind.Pred_Attribute: 282>, <Iir_Kind.Leftof_Attribute: 283>, <Iir_Kind.Rightof_Attribute: 284>, <Iir_Kind.Signal_Slew_Attribute: 285>, <Iir_Kind.Quantity_Slew_Attribute: 286>, <Iir_Kind.Ramp_Attribute: 287>, <Iir_Kind.Zoh_Attribute: 288>, <Iir_Kind.Ltf_Attribute: 289>, <Iir_Kind.Ztf_Attribute: 290>, <Iir_Kind.Dot_Attribute: 291>, <Iir_Kind.Integ_Attribute: 292>, <Iir_Kind.Above_Attribute: 293>, <Iir_Kind.Quantity_Delayed_Attribute: 294>, <Iir_Kind.Delayed_Attribute: 295>, <Iir_Kind.Stable_Attribute: 296>, <Iir_Kind.Quiet_Attribute: 297>, <Iir_Kind.Transaction_Attribute: 298>, <Iir_Kind.Event_Attribute: 299>, <Iir_Kind.Active_Attribute: 300>, <Iir_Kind.Last_Event_Attribute: 301>, <Iir_Kind.Last_Active_Attribute: 302>, <Iir_Kind.Last_Value_Attribute: 303>, <Iir_Kind.Driving_Attribute: 304>, <Iir_Kind.Driving_Value_Attribute: 305>, <Iir_Kind.Behavior_Attribute: 306>, <Iir_Kind.Structure_Attribute: 307>, <Iir_Kind.Simple_Name_Attribute: 308>, <Iir_Kind.Instance_Name_Attribute: 309>, <Iir_Kind.Path_Name_Attribute: 310>, <Iir_Kind.Left_Array_Attribute: 311>, <Iir_Kind.Right_Array_Attribute: 312>, <Iir_Kind.High_Array_Attribute: 313>, <Iir_Kind.Low_Array_Attribute: 314>, <Iir_Kind.Length_Array_Attribute: 315>, <Iir_Kind.Ascending_Array_Attribute: 316>]
36652 @end deffn
36654 @geindex Attribute (pyGHDL.libghdl.vhdl.nodes.Iir_Kinds attribute)
36655 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kinds Attribute}@anchor{12a1}
36656 @deffn {Attribute} Attribute  =  [<Iir_Kind.Base_Attribute: 266>, <Iir_Kind.Subtype_Attribute: 267>, <Iir_Kind.Element_Attribute: 268>, <Iir_Kind.Across_Attribute: 269>, <Iir_Kind.Through_Attribute: 270>, <Iir_Kind.Nature_Reference_Attribute: 271>, <Iir_Kind.Left_Type_Attribute: 272>, <Iir_Kind.Right_Type_Attribute: 273>, <Iir_Kind.High_Type_Attribute: 274>, <Iir_Kind.Low_Type_Attribute: 275>, <Iir_Kind.Ascending_Type_Attribute: 276>, <Iir_Kind.Image_Attribute: 277>, <Iir_Kind.Value_Attribute: 278>, <Iir_Kind.Pos_Attribute: 279>, <Iir_Kind.Val_Attribute: 280>, <Iir_Kind.Succ_Attribute: 281>, <Iir_Kind.Pred_Attribute: 282>, <Iir_Kind.Leftof_Attribute: 283>, <Iir_Kind.Rightof_Attribute: 284>, <Iir_Kind.Signal_Slew_Attribute: 285>, <Iir_Kind.Quantity_Slew_Attribute: 286>, <Iir_Kind.Ramp_Attribute: 287>, <Iir_Kind.Zoh_Attribute: 288>, <Iir_Kind.Ltf_Attribute: 289>, <Iir_Kind.Ztf_Attribute: 290>, <Iir_Kind.Dot_Attribute: 291>, <Iir_Kind.Integ_Attribute: 292>, <Iir_Kind.Above_Attribute: 293>, <Iir_Kind.Quantity_Delayed_Attribute: 294>, <Iir_Kind.Delayed_Attribute: 295>, <Iir_Kind.Stable_Attribute: 296>, <Iir_Kind.Quiet_Attribute: 297>, <Iir_Kind.Transaction_Attribute: 298>, <Iir_Kind.Event_Attribute: 299>, <Iir_Kind.Active_Attribute: 300>, <Iir_Kind.Last_Event_Attribute: 301>, <Iir_Kind.Last_Active_Attribute: 302>, <Iir_Kind.Last_Value_Attribute: 303>, <Iir_Kind.Driving_Attribute: 304>, <Iir_Kind.Driving_Value_Attribute: 305>, <Iir_Kind.Behavior_Attribute: 306>, <Iir_Kind.Structure_Attribute: 307>, <Iir_Kind.Simple_Name_Attribute: 308>, <Iir_Kind.Instance_Name_Attribute: 309>, <Iir_Kind.Path_Name_Attribute: 310>, <Iir_Kind.Left_Array_Attribute: 311>, <Iir_Kind.Right_Array_Attribute: 312>, <Iir_Kind.High_Array_Attribute: 313>, <Iir_Kind.Low_Array_Attribute: 314>, <Iir_Kind.Length_Array_Attribute: 315>, <Iir_Kind.Ascending_Array_Attribute: 316>, <Iir_Kind.Range_Array_Attribute: 317>, <Iir_Kind.Reverse_Range_Array_Attribute: 318>]
36657 @end deffn
36659 @geindex Type_Attribute (pyGHDL.libghdl.vhdl.nodes.Iir_Kinds attribute)
36660 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kinds Type_Attribute}@anchor{12a2}
36661 @deffn {Attribute} Type_Attribute  =  [<Iir_Kind.Left_Type_Attribute: 272>, <Iir_Kind.Right_Type_Attribute: 273>, <Iir_Kind.High_Type_Attribute: 274>, <Iir_Kind.Low_Type_Attribute: 275>, <Iir_Kind.Ascending_Type_Attribute: 276>]
36662 @end deffn
36664 @geindex Subtype_Attribute (pyGHDL.libghdl.vhdl.nodes.Iir_Kinds attribute)
36665 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kinds Subtype_Attribute}@anchor{12a3}
36666 @deffn {Attribute} Subtype_Attribute  =  [<Iir_Kind.Base_Attribute: 266>, <Iir_Kind.Subtype_Attribute: 267>, <Iir_Kind.Element_Attribute: 268>]
36667 @end deffn
36669 @geindex Scalar_Type_Attribute (pyGHDL.libghdl.vhdl.nodes.Iir_Kinds attribute)
36670 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kinds Scalar_Type_Attribute}@anchor{12a4}
36671 @deffn {Attribute} Scalar_Type_Attribute  =  [<Iir_Kind.Pos_Attribute: 279>, <Iir_Kind.Val_Attribute: 280>, <Iir_Kind.Succ_Attribute: 281>, <Iir_Kind.Pred_Attribute: 282>, <Iir_Kind.Leftof_Attribute: 283>, <Iir_Kind.Rightof_Attribute: 284>]
36672 @end deffn
36674 @geindex Array_Attribute (pyGHDL.libghdl.vhdl.nodes.Iir_Kinds attribute)
36675 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kinds Array_Attribute}@anchor{12a5}
36676 @deffn {Attribute} Array_Attribute  =  [<Iir_Kind.Left_Array_Attribute: 311>, <Iir_Kind.Right_Array_Attribute: 312>, <Iir_Kind.High_Array_Attribute: 313>, <Iir_Kind.Low_Array_Attribute: 314>, <Iir_Kind.Length_Array_Attribute: 315>, <Iir_Kind.Ascending_Array_Attribute: 316>, <Iir_Kind.Range_Array_Attribute: 317>, <Iir_Kind.Reverse_Range_Array_Attribute: 318>]
36677 @end deffn
36679 @geindex Range_Attribute (pyGHDL.libghdl.vhdl.nodes.Iir_Kinds attribute)
36680 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kinds Range_Attribute}@anchor{12a6}
36681 @deffn {Attribute} Range_Attribute  =  [<Iir_Kind.Range_Array_Attribute: 317>, <Iir_Kind.Reverse_Range_Array_Attribute: 318>]
36682 @end deffn
36684 @geindex Signal_Attribute (pyGHDL.libghdl.vhdl.nodes.Iir_Kinds attribute)
36685 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kinds Signal_Attribute}@anchor{12a7}
36686 @deffn {Attribute} Signal_Attribute  =  [<Iir_Kind.Delayed_Attribute: 295>, <Iir_Kind.Stable_Attribute: 296>, <Iir_Kind.Quiet_Attribute: 297>, <Iir_Kind.Transaction_Attribute: 298>]
36687 @end deffn
36689 @geindex Signal_Value_Attribute (pyGHDL.libghdl.vhdl.nodes.Iir_Kinds attribute)
36690 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kinds Signal_Value_Attribute}@anchor{12a8}
36691 @deffn {Attribute} Signal_Value_Attribute  =  [<Iir_Kind.Event_Attribute: 299>, <Iir_Kind.Active_Attribute: 300>, <Iir_Kind.Last_Event_Attribute: 301>, <Iir_Kind.Last_Active_Attribute: 302>, <Iir_Kind.Last_Value_Attribute: 303>, <Iir_Kind.Driving_Attribute: 304>, <Iir_Kind.Driving_Value_Attribute: 305>]
36692 @end deffn
36694 @geindex Name_Attribute (pyGHDL.libghdl.vhdl.nodes.Iir_Kinds attribute)
36695 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kinds Name_Attribute}@anchor{12a9}
36696 @deffn {Attribute} Name_Attribute  =  [<Iir_Kind.Simple_Name_Attribute: 308>, <Iir_Kind.Instance_Name_Attribute: 309>, <Iir_Kind.Path_Name_Attribute: 310>]
36697 @end deffn
36699 @geindex Concurrent_Statement (pyGHDL.libghdl.vhdl.nodes.Iir_Kinds attribute)
36700 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kinds Concurrent_Statement}@anchor{12aa}
36701 @deffn {Attribute} Concurrent_Statement  =  [<Iir_Kind.Sensitized_Process_Statement: 205>, <Iir_Kind.Process_Statement: 206>, <Iir_Kind.Concurrent_Simple_Signal_Assignment: 207>, <Iir_Kind.Concurrent_Conditional_Signal_Assignment: 208>, <Iir_Kind.Concurrent_Selected_Signal_Assignment: 209>, <Iir_Kind.Concurrent_Assertion_Statement: 210>, <Iir_Kind.Concurrent_Procedure_Call_Statement: 211>, <Iir_Kind.Concurrent_Break_Statement: 212>, <Iir_Kind.Psl_Assert_Directive: 213>, <Iir_Kind.Psl_Assume_Directive: 214>, <Iir_Kind.Psl_Cover_Directive: 215>, <Iir_Kind.Psl_Restrict_Directive: 216>, <Iir_Kind.Block_Statement: 217>, <Iir_Kind.If_Generate_Statement: 218>, <Iir_Kind.Case_Generate_Statement: 219>, <Iir_Kind.For_Generate_Statement: 220>, <Iir_Kind.Component_Instantiation_Statement: 221>]
36702 @end deffn
36704 @geindex Simple_Concurrent_Statement (pyGHDL.libghdl.vhdl.nodes.Iir_Kinds attribute)
36705 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kinds Simple_Concurrent_Statement}@anchor{12ab}
36706 @deffn {Attribute} Simple_Concurrent_Statement  =  [<Iir_Kind.Sensitized_Process_Statement: 205>, <Iir_Kind.Process_Statement: 206>, <Iir_Kind.Concurrent_Simple_Signal_Assignment: 207>, <Iir_Kind.Concurrent_Conditional_Signal_Assignment: 208>, <Iir_Kind.Concurrent_Selected_Signal_Assignment: 209>, <Iir_Kind.Concurrent_Assertion_Statement: 210>, <Iir_Kind.Concurrent_Procedure_Call_Statement: 211>, <Iir_Kind.Concurrent_Break_Statement: 212>, <Iir_Kind.Psl_Assert_Directive: 213>, <Iir_Kind.Psl_Assume_Directive: 214>, <Iir_Kind.Psl_Cover_Directive: 215>, <Iir_Kind.Psl_Restrict_Directive: 216>]
36707 @end deffn
36709 @geindex Psl_Property_Directive (pyGHDL.libghdl.vhdl.nodes.Iir_Kinds attribute)
36710 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kinds Psl_Property_Directive}@anchor{12ac}
36711 @deffn {Attribute} Psl_Property_Directive  =  [<Iir_Kind.Psl_Assert_Directive: 213>, <Iir_Kind.Psl_Assume_Directive: 214>]
36712 @end deffn
36714 @geindex Psl_Sequence_Directive (pyGHDL.libghdl.vhdl.nodes.Iir_Kinds attribute)
36715 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kinds Psl_Sequence_Directive}@anchor{12ad}
36716 @deffn {Attribute} Psl_Sequence_Directive  =  [<Iir_Kind.Psl_Cover_Directive: 215>, <Iir_Kind.Psl_Restrict_Directive: 216>]
36717 @end deffn
36719 @geindex Psl_Directive (pyGHDL.libghdl.vhdl.nodes.Iir_Kinds attribute)
36720 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kinds Psl_Directive}@anchor{12ae}
36721 @deffn {Attribute} Psl_Directive  =  [<Iir_Kind.Psl_Assert_Directive: 213>, <Iir_Kind.Psl_Assume_Directive: 214>, <Iir_Kind.Psl_Cover_Directive: 215>, <Iir_Kind.Psl_Restrict_Directive: 216>]
36722 @end deffn
36724 @geindex Generate_Statement (pyGHDL.libghdl.vhdl.nodes.Iir_Kinds attribute)
36725 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kinds Generate_Statement}@anchor{12af}
36726 @deffn {Attribute} Generate_Statement  =  [<Iir_Kind.If_Generate_Statement: 218>, <Iir_Kind.Case_Generate_Statement: 219>, <Iir_Kind.For_Generate_Statement: 220>]
36727 @end deffn
36729 @geindex Concurrent_Signal_Assignment (pyGHDL.libghdl.vhdl.nodes.Iir_Kinds attribute)
36730 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kinds Concurrent_Signal_Assignment}@anchor{12b0}
36731 @deffn {Attribute} Concurrent_Signal_Assignment  =  [<Iir_Kind.Concurrent_Simple_Signal_Assignment: 207>, <Iir_Kind.Concurrent_Conditional_Signal_Assignment: 208>, <Iir_Kind.Concurrent_Selected_Signal_Assignment: 209>]
36732 @end deffn
36734 @geindex If_Case_Generate_Statement (pyGHDL.libghdl.vhdl.nodes.Iir_Kinds attribute)
36735 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kinds If_Case_Generate_Statement}@anchor{12b1}
36736 @deffn {Attribute} If_Case_Generate_Statement  =  [<Iir_Kind.If_Generate_Statement: 218>, <Iir_Kind.Case_Generate_Statement: 219>]
36737 @end deffn
36739 @geindex Simultaneous_Statement (pyGHDL.libghdl.vhdl.nodes.Iir_Kinds attribute)
36740 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kinds Simultaneous_Statement}@anchor{12b2}
36741 @deffn {Attribute} Simultaneous_Statement  =  [<Iir_Kind.Simple_Simultaneous_Statement: 225>, <Iir_Kind.Simultaneous_Null_Statement: 226>, <Iir_Kind.Simultaneous_Procedural_Statement: 227>, <Iir_Kind.Simultaneous_Case_Statement: 228>, <Iir_Kind.Simultaneous_If_Statement: 229>]
36742 @end deffn
36744 @geindex Sequential_Statement (pyGHDL.libghdl.vhdl.nodes.Iir_Kinds attribute)
36745 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kinds Sequential_Statement}@anchor{12b3}
36746 @deffn {Attribute} Sequential_Statement  =  [<Iir_Kind.Simple_Signal_Assignment_Statement: 231>, <Iir_Kind.Conditional_Signal_Assignment_Statement: 232>, <Iir_Kind.Selected_Waveform_Assignment_Statement: 233>, <Iir_Kind.Signal_Force_Assignment_Statement: 234>, <Iir_Kind.Signal_Release_Assignment_Statement: 235>, <Iir_Kind.Null_Statement: 236>, <Iir_Kind.Assertion_Statement: 237>, <Iir_Kind.Report_Statement: 238>, <Iir_Kind.Wait_Statement: 239>, <Iir_Kind.Variable_Assignment_Statement: 240>, <Iir_Kind.Conditional_Variable_Assignment_Statement: 241>, <Iir_Kind.Return_Statement: 242>, <Iir_Kind.For_Loop_Statement: 243>, <Iir_Kind.While_Loop_Statement: 244>, <Iir_Kind.Next_Statement: 245>, <Iir_Kind.Exit_Statement: 246>, <Iir_Kind.Case_Statement: 247>, <Iir_Kind.Procedure_Call_Statement: 248>, <Iir_Kind.Break_Statement: 249>, <Iir_Kind.If_Statement: 250>]
36747 @end deffn
36749 @geindex Next_Exit_Statement (pyGHDL.libghdl.vhdl.nodes.Iir_Kinds attribute)
36750 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kinds Next_Exit_Statement}@anchor{12b4}
36751 @deffn {Attribute} Next_Exit_Statement  =  [<Iir_Kind.Next_Statement: 245>, <Iir_Kind.Exit_Statement: 246>]
36752 @end deffn
36754 @geindex Variable_Assignment_Statement (pyGHDL.libghdl.vhdl.nodes.Iir_Kinds attribute)
36755 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kinds Variable_Assignment_Statement}@anchor{12b5}
36756 @deffn {Attribute} Variable_Assignment_Statement  =  [<Iir_Kind.Variable_Assignment_Statement: 240>, <Iir_Kind.Conditional_Variable_Assignment_Statement: 241>]
36757 @end deffn
36759 @geindex Allocator (pyGHDL.libghdl.vhdl.nodes.Iir_Kinds attribute)
36760 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kinds Allocator}@anchor{12b6}
36761 @deffn {Attribute} Allocator  =  [<Iir_Kind.Allocator_By_Expression: 191>, <Iir_Kind.Allocator_By_Subtype: 192>]
36762 @end deffn
36764 @geindex Clause (pyGHDL.libghdl.vhdl.nodes.Iir_Kinds attribute)
36765 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kinds Clause}@anchor{12b7}
36766 @deffn {Attribute} Clause  =  [<Iir_Kind.Library_Clause: 4>, <Iir_Kind.Use_Clause: 5>, <Iir_Kind.Context_Reference: 6>]
36767 @end deffn
36769 @geindex Specification (pyGHDL.libghdl.vhdl.nodes.Iir_Kinds attribute)
36770 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kinds Specification}@anchor{12b8}
36771 @deffn {Attribute} Specification  =  [<Iir_Kind.Attribute_Specification: 51>, <Iir_Kind.Disconnection_Specification: 52>, <Iir_Kind.Step_Limit_Specification: 53>, <Iir_Kind.Configuration_Specification: 54>]
36772 @end deffn
36773 @end deffn
36775 @geindex Iir_Mode (class in pyGHDL.libghdl.vhdl.nodes)
36776 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Mode}@anchor{e3d}
36777 @deffn {Class} pyGHDL.libghdl.vhdl.nodes.Iir_Mode (value)
36779 An enumeration.
36781 @subsubheading Inheritance
36783 @image{inheritance-fc23e6bf4de861ecf2d0c37364c753f6eaf7b38e,,,[graphviz],png}
36785 @subsubheading Members
36788 @geindex Unknown_Mode (pyGHDL.libghdl.vhdl.nodes.Iir_Mode attribute)
36789 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Mode Unknown_Mode}@anchor{12b9}
36790 @deffn {Attribute} Unknown_Mode  =  0
36791 @end deffn
36793 @geindex Linkage_Mode (pyGHDL.libghdl.vhdl.nodes.Iir_Mode attribute)
36794 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Mode Linkage_Mode}@anchor{12ba}
36795 @deffn {Attribute} Linkage_Mode  =  1
36796 @end deffn
36798 @geindex Buffer_Mode (pyGHDL.libghdl.vhdl.nodes.Iir_Mode attribute)
36799 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Mode Buffer_Mode}@anchor{12bb}
36800 @deffn {Attribute} Buffer_Mode  =  2
36801 @end deffn
36803 @geindex Out_Mode (pyGHDL.libghdl.vhdl.nodes.Iir_Mode attribute)
36804 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Mode Out_Mode}@anchor{12bc}
36805 @deffn {Attribute} Out_Mode  =  3
36806 @end deffn
36808 @geindex Inout_Mode (pyGHDL.libghdl.vhdl.nodes.Iir_Mode attribute)
36809 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Mode Inout_Mode}@anchor{12bd}
36810 @deffn {Attribute} Inout_Mode  =  4
36811 @end deffn
36813 @geindex In_Mode (pyGHDL.libghdl.vhdl.nodes.Iir_Mode attribute)
36814 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Mode In_Mode}@anchor{12be}
36815 @deffn {Attribute} In_Mode  =  5
36816 @end deffn
36817 @end deffn
36819 @geindex ScalarSize (class in pyGHDL.libghdl.vhdl.nodes)
36820 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes ScalarSize}@anchor{e3e}
36821 @deffn {Class} pyGHDL.libghdl.vhdl.nodes.ScalarSize (value)
36823 An enumeration.
36825 @subsubheading Inheritance
36827 @image{inheritance-5a69a418d7f866a05f259a18b2bd9c275c8cfff5,,,[graphviz],png}
36829 @subsubheading Members
36832 @geindex Scalar_8 (pyGHDL.libghdl.vhdl.nodes.ScalarSize attribute)
36833 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes ScalarSize Scalar_8}@anchor{12bf}
36834 @deffn {Attribute} Scalar_8  =  0
36835 @end deffn
36837 @geindex Scalar_16 (pyGHDL.libghdl.vhdl.nodes.ScalarSize attribute)
36838 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes ScalarSize Scalar_16}@anchor{12c0}
36839 @deffn {Attribute} Scalar_16  =  1
36840 @end deffn
36842 @geindex Scalar_32 (pyGHDL.libghdl.vhdl.nodes.ScalarSize attribute)
36843 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes ScalarSize Scalar_32}@anchor{12c1}
36844 @deffn {Attribute} Scalar_32  =  2
36845 @end deffn
36847 @geindex Scalar_64 (pyGHDL.libghdl.vhdl.nodes.ScalarSize attribute)
36848 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes ScalarSize Scalar_64}@anchor{12c2}
36849 @deffn {Attribute} Scalar_64  =  3
36850 @end deffn
36851 @end deffn
36853 @geindex Iir_Staticness (class in pyGHDL.libghdl.vhdl.nodes)
36854 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Staticness}@anchor{e3f}
36855 @deffn {Class} pyGHDL.libghdl.vhdl.nodes.Iir_Staticness (value)
36857 An enumeration.
36859 @subsubheading Inheritance
36861 @image{inheritance-67f462b3bfa946d6dc68ad6b2953dd767422048b,,,[graphviz],png}
36863 @subsubheading Members
36866 @geindex Unknown (pyGHDL.libghdl.vhdl.nodes.Iir_Staticness attribute)
36867 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Staticness Unknown}@anchor{12c3}
36868 @deffn {Attribute} Unknown  =  0
36869 @end deffn
36871 @geindex PNone (pyGHDL.libghdl.vhdl.nodes.Iir_Staticness attribute)
36872 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Staticness PNone}@anchor{12c4}
36873 @deffn {Attribute} PNone  =  1
36874 @end deffn
36876 @geindex Globally (pyGHDL.libghdl.vhdl.nodes.Iir_Staticness attribute)
36877 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Staticness Globally}@anchor{12c5}
36878 @deffn {Attribute} Globally  =  2
36879 @end deffn
36881 @geindex Locally (pyGHDL.libghdl.vhdl.nodes.Iir_Staticness attribute)
36882 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Staticness Locally}@anchor{12c6}
36883 @deffn {Attribute} Locally  =  3
36884 @end deffn
36885 @end deffn
36887 @geindex Iir_Constraint (class in pyGHDL.libghdl.vhdl.nodes)
36888 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Constraint}@anchor{e40}
36889 @deffn {Class} pyGHDL.libghdl.vhdl.nodes.Iir_Constraint (value)
36891 An enumeration.
36893 @subsubheading Inheritance
36895 @image{inheritance-8b51132dcd1c80b1156d14dbe4271d5953916f21,,,[graphviz],png}
36897 @subsubheading Members
36900 @geindex Unconstrained (pyGHDL.libghdl.vhdl.nodes.Iir_Constraint attribute)
36901 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Constraint Unconstrained}@anchor{12c7}
36902 @deffn {Attribute} Unconstrained  =  0
36903 @end deffn
36905 @geindex Partially_Constrained (pyGHDL.libghdl.vhdl.nodes.Iir_Constraint attribute)
36906 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Constraint Partially_Constrained}@anchor{12c8}
36907 @deffn {Attribute} Partially_Constrained  =  1
36908 @end deffn
36910 @geindex Fully_Constrained (pyGHDL.libghdl.vhdl.nodes.Iir_Constraint attribute)
36911 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Constraint Fully_Constrained}@anchor{12c9}
36912 @deffn {Attribute} Fully_Constrained  =  2
36913 @end deffn
36914 @end deffn
36916 @geindex Iir_Delay_Mechanism (class in pyGHDL.libghdl.vhdl.nodes)
36917 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Delay_Mechanism}@anchor{e41}
36918 @deffn {Class} pyGHDL.libghdl.vhdl.nodes.Iir_Delay_Mechanism (value)
36920 An enumeration.
36922 @subsubheading Inheritance
36924 @image{inheritance-bd120d73b2bd68f6ab9afe3e559792648aec2ea5,,,[graphviz],png}
36926 @subsubheading Members
36929 @geindex Inertial_Delay (pyGHDL.libghdl.vhdl.nodes.Iir_Delay_Mechanism attribute)
36930 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Delay_Mechanism Inertial_Delay}@anchor{12ca}
36931 @deffn {Attribute} Inertial_Delay  =  0
36932 @end deffn
36934 @geindex Transport_Delay (pyGHDL.libghdl.vhdl.nodes.Iir_Delay_Mechanism attribute)
36935 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Delay_Mechanism Transport_Delay}@anchor{12cb}
36936 @deffn {Attribute} Transport_Delay  =  1
36937 @end deffn
36938 @end deffn
36940 @geindex DateStateType (class in pyGHDL.libghdl.vhdl.nodes)
36941 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes DateStateType}@anchor{e42}
36942 @deffn {Class} pyGHDL.libghdl.vhdl.nodes.DateStateType (value)
36944 An enumeration.
36946 @subsubheading Inheritance
36948 @image{inheritance-333f760efcdc08cb248beca56f3aab4eabf87feb,,,[graphviz],png}
36950 @subsubheading Members
36953 @geindex Extern (pyGHDL.libghdl.vhdl.nodes.DateStateType attribute)
36954 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes DateStateType Extern}@anchor{12cc}
36955 @deffn {Attribute} Extern  =  0
36956 @end deffn
36958 @geindex Disk (pyGHDL.libghdl.vhdl.nodes.DateStateType attribute)
36959 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes DateStateType Disk}@anchor{12cd}
36960 @deffn {Attribute} Disk  =  1
36961 @end deffn
36963 @geindex Parse (pyGHDL.libghdl.vhdl.nodes.DateStateType attribute)
36964 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes DateStateType Parse}@anchor{12ce}
36965 @deffn {Attribute} Parse  =  2
36966 @end deffn
36968 @geindex Analyze (pyGHDL.libghdl.vhdl.nodes.DateStateType attribute)
36969 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes DateStateType Analyze}@anchor{12cf}
36970 @deffn {Attribute} Analyze  =  3
36971 @end deffn
36972 @end deffn
36974 @geindex NumberBaseType (class in pyGHDL.libghdl.vhdl.nodes)
36975 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes NumberBaseType}@anchor{e43}
36976 @deffn {Class} pyGHDL.libghdl.vhdl.nodes.NumberBaseType (value)
36978 An enumeration.
36980 @subsubheading Inheritance
36982 @image{inheritance-fa92fa0fd9f86302cad74d4e6567b36c703f1e0a,,,[graphviz],png}
36984 @subsubheading Members
36987 @geindex Base_None (pyGHDL.libghdl.vhdl.nodes.NumberBaseType attribute)
36988 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes NumberBaseType Base_None}@anchor{12d0}
36989 @deffn {Attribute} Base_None  =  0
36990 @end deffn
36992 @geindex Base_2 (pyGHDL.libghdl.vhdl.nodes.NumberBaseType attribute)
36993 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes NumberBaseType Base_2}@anchor{12d1}
36994 @deffn {Attribute} Base_2  =  1
36995 @end deffn
36997 @geindex Base_8 (pyGHDL.libghdl.vhdl.nodes.NumberBaseType attribute)
36998 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes NumberBaseType Base_8}@anchor{12d2}
36999 @deffn {Attribute} Base_8  =  2
37000 @end deffn
37002 @geindex Base_10 (pyGHDL.libghdl.vhdl.nodes.NumberBaseType attribute)
37003 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes NumberBaseType Base_10}@anchor{12d3}
37004 @deffn {Attribute} Base_10  =  3
37005 @end deffn
37007 @geindex Base_16 (pyGHDL.libghdl.vhdl.nodes.NumberBaseType attribute)
37008 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes NumberBaseType Base_16}@anchor{12d4}
37009 @deffn {Attribute} Base_16  =  4
37010 @end deffn
37011 @end deffn
37013 @geindex Iir_Predefined (class in pyGHDL.libghdl.vhdl.nodes)
37014 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined}@anchor{e44}
37015 @deffn {Class} pyGHDL.libghdl.vhdl.nodes.Iir_Predefined (value)
37017 An enumeration.
37019 @subsubheading Inheritance
37021 @image{inheritance-08f1fb61020045018fcd55dafc8f634507bd3ec9,,,[graphviz],png}
37023 @subsubheading Members
37026 @geindex Error (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
37027 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Error}@anchor{12d5}
37028 @deffn {Attribute} Error  =  0
37029 @end deffn
37031 @geindex Boolean_And (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
37032 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Boolean_And}@anchor{12d6}
37033 @deffn {Attribute} Boolean_And  =  1
37034 @end deffn
37036 @geindex Boolean_Or (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
37037 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Boolean_Or}@anchor{12d7}
37038 @deffn {Attribute} Boolean_Or  =  2
37039 @end deffn
37041 @geindex Boolean_Nand (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
37042 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Boolean_Nand}@anchor{12d8}
37043 @deffn {Attribute} Boolean_Nand  =  3
37044 @end deffn
37046 @geindex Boolean_Nor (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
37047 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Boolean_Nor}@anchor{12d9}
37048 @deffn {Attribute} Boolean_Nor  =  4
37049 @end deffn
37051 @geindex Boolean_Xor (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
37052 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Boolean_Xor}@anchor{12da}
37053 @deffn {Attribute} Boolean_Xor  =  5
37054 @end deffn
37056 @geindex Boolean_Xnor (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
37057 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Boolean_Xnor}@anchor{12db}
37058 @deffn {Attribute} Boolean_Xnor  =  6
37059 @end deffn
37061 @geindex Boolean_Not (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
37062 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Boolean_Not}@anchor{12dc}
37063 @deffn {Attribute} Boolean_Not  =  7
37064 @end deffn
37066 @geindex Boolean_Rising_Edge (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
37067 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Boolean_Rising_Edge}@anchor{12dd}
37068 @deffn {Attribute} Boolean_Rising_Edge  =  8
37069 @end deffn
37071 @geindex Boolean_Falling_Edge (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
37072 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Boolean_Falling_Edge}@anchor{12de}
37073 @deffn {Attribute} Boolean_Falling_Edge  =  9
37074 @end deffn
37076 @geindex Enum_Equality (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
37077 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Enum_Equality}@anchor{12df}
37078 @deffn {Attribute} Enum_Equality  =  10
37079 @end deffn
37081 @geindex Enum_Inequality (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
37082 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Enum_Inequality}@anchor{12e0}
37083 @deffn {Attribute} Enum_Inequality  =  11
37084 @end deffn
37086 @geindex Enum_Less (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
37087 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Enum_Less}@anchor{12e1}
37088 @deffn {Attribute} Enum_Less  =  12
37089 @end deffn
37091 @geindex Enum_Less_Equal (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
37092 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Enum_Less_Equal}@anchor{12e2}
37093 @deffn {Attribute} Enum_Less_Equal  =  13
37094 @end deffn
37096 @geindex Enum_Greater (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
37097 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Enum_Greater}@anchor{12e3}
37098 @deffn {Attribute} Enum_Greater  =  14
37099 @end deffn
37101 @geindex Enum_Greater_Equal (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
37102 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Enum_Greater_Equal}@anchor{12e4}
37103 @deffn {Attribute} Enum_Greater_Equal  =  15
37104 @end deffn
37106 @geindex Enum_Minimum (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
37107 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Enum_Minimum}@anchor{12e5}
37108 @deffn {Attribute} Enum_Minimum  =  16
37109 @end deffn
37111 @geindex Enum_Maximum (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
37112 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Enum_Maximum}@anchor{12e6}
37113 @deffn {Attribute} Enum_Maximum  =  17
37114 @end deffn
37116 @geindex Enum_To_String (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
37117 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Enum_To_String}@anchor{12e7}
37118 @deffn {Attribute} Enum_To_String  =  18
37119 @end deffn
37121 @geindex Bit_And (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
37122 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Bit_And}@anchor{12e8}
37123 @deffn {Attribute} Bit_And  =  19
37124 @end deffn
37126 @geindex Bit_Or (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
37127 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Bit_Or}@anchor{12e9}
37128 @deffn {Attribute} Bit_Or  =  20
37129 @end deffn
37131 @geindex Bit_Nand (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
37132 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Bit_Nand}@anchor{12ea}
37133 @deffn {Attribute} Bit_Nand  =  21
37134 @end deffn
37136 @geindex Bit_Nor (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
37137 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Bit_Nor}@anchor{12eb}
37138 @deffn {Attribute} Bit_Nor  =  22
37139 @end deffn
37141 @geindex Bit_Xor (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
37142 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Bit_Xor}@anchor{12ec}
37143 @deffn {Attribute} Bit_Xor  =  23
37144 @end deffn
37146 @geindex Bit_Xnor (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
37147 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Bit_Xnor}@anchor{12ed}
37148 @deffn {Attribute} Bit_Xnor  =  24
37149 @end deffn
37151 @geindex Bit_Not (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
37152 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Bit_Not}@anchor{12ee}
37153 @deffn {Attribute} Bit_Not  =  25
37154 @end deffn
37156 @geindex Bit_Match_Equality (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
37157 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Bit_Match_Equality}@anchor{12ef}
37158 @deffn {Attribute} Bit_Match_Equality  =  26
37159 @end deffn
37161 @geindex Bit_Match_Inequality (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
37162 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Bit_Match_Inequality}@anchor{12f0}
37163 @deffn {Attribute} Bit_Match_Inequality  =  27
37164 @end deffn
37166 @geindex Bit_Match_Less (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
37167 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Bit_Match_Less}@anchor{12f1}
37168 @deffn {Attribute} Bit_Match_Less  =  28
37169 @end deffn
37171 @geindex Bit_Match_Less_Equal (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
37172 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Bit_Match_Less_Equal}@anchor{12f2}
37173 @deffn {Attribute} Bit_Match_Less_Equal  =  29
37174 @end deffn
37176 @geindex Bit_Match_Greater (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
37177 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Bit_Match_Greater}@anchor{12f3}
37178 @deffn {Attribute} Bit_Match_Greater  =  30
37179 @end deffn
37181 @geindex Bit_Match_Greater_Equal (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
37182 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Bit_Match_Greater_Equal}@anchor{12f4}
37183 @deffn {Attribute} Bit_Match_Greater_Equal  =  31
37184 @end deffn
37186 @geindex Bit_Condition (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
37187 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Bit_Condition}@anchor{12f5}
37188 @deffn {Attribute} Bit_Condition  =  32
37189 @end deffn
37191 @geindex Bit_Rising_Edge (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
37192 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Bit_Rising_Edge}@anchor{12f6}
37193 @deffn {Attribute} Bit_Rising_Edge  =  33
37194 @end deffn
37196 @geindex Bit_Falling_Edge (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
37197 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Bit_Falling_Edge}@anchor{12f7}
37198 @deffn {Attribute} Bit_Falling_Edge  =  34
37199 @end deffn
37201 @geindex Integer_Equality (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
37202 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Integer_Equality}@anchor{12f8}
37203 @deffn {Attribute} Integer_Equality  =  35
37204 @end deffn
37206 @geindex Integer_Inequality (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
37207 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Integer_Inequality}@anchor{12f9}
37208 @deffn {Attribute} Integer_Inequality  =  36
37209 @end deffn
37211 @geindex Integer_Less (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
37212 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Integer_Less}@anchor{12fa}
37213 @deffn {Attribute} Integer_Less  =  37
37214 @end deffn
37216 @geindex Integer_Less_Equal (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
37217 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Integer_Less_Equal}@anchor{12fb}
37218 @deffn {Attribute} Integer_Less_Equal  =  38
37219 @end deffn
37221 @geindex Integer_Greater (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
37222 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Integer_Greater}@anchor{12fc}
37223 @deffn {Attribute} Integer_Greater  =  39
37224 @end deffn
37226 @geindex Integer_Greater_Equal (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
37227 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Integer_Greater_Equal}@anchor{12fd}
37228 @deffn {Attribute} Integer_Greater_Equal  =  40
37229 @end deffn
37231 @geindex Integer_Identity (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
37232 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Integer_Identity}@anchor{12fe}
37233 @deffn {Attribute} Integer_Identity  =  41
37234 @end deffn
37236 @geindex Integer_Negation (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
37237 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Integer_Negation}@anchor{12ff}
37238 @deffn {Attribute} Integer_Negation  =  42
37239 @end deffn
37241 @geindex Integer_Absolute (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
37242 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Integer_Absolute}@anchor{1300}
37243 @deffn {Attribute} Integer_Absolute  =  43
37244 @end deffn
37246 @geindex Integer_Plus (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
37247 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Integer_Plus}@anchor{1301}
37248 @deffn {Attribute} Integer_Plus  =  44
37249 @end deffn
37251 @geindex Integer_Minus (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
37252 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Integer_Minus}@anchor{1302}
37253 @deffn {Attribute} Integer_Minus  =  45
37254 @end deffn
37256 @geindex Integer_Mul (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
37257 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Integer_Mul}@anchor{1303}
37258 @deffn {Attribute} Integer_Mul  =  46
37259 @end deffn
37261 @geindex Integer_Div (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
37262 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Integer_Div}@anchor{1304}
37263 @deffn {Attribute} Integer_Div  =  47
37264 @end deffn
37266 @geindex Integer_Mod (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
37267 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Integer_Mod}@anchor{1305}
37268 @deffn {Attribute} Integer_Mod  =  48
37269 @end deffn
37271 @geindex Integer_Rem (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
37272 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Integer_Rem}@anchor{1306}
37273 @deffn {Attribute} Integer_Rem  =  49
37274 @end deffn
37276 @geindex Integer_Exp (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
37277 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Integer_Exp}@anchor{1307}
37278 @deffn {Attribute} Integer_Exp  =  50
37279 @end deffn
37281 @geindex Integer_Minimum (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
37282 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Integer_Minimum}@anchor{1308}
37283 @deffn {Attribute} Integer_Minimum  =  51
37284 @end deffn
37286 @geindex Integer_Maximum (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
37287 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Integer_Maximum}@anchor{1309}
37288 @deffn {Attribute} Integer_Maximum  =  52
37289 @end deffn
37291 @geindex Integer_To_String (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
37292 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Integer_To_String}@anchor{130a}
37293 @deffn {Attribute} Integer_To_String  =  53
37294 @end deffn
37296 @geindex Floating_Equality (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
37297 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Floating_Equality}@anchor{130b}
37298 @deffn {Attribute} Floating_Equality  =  54
37299 @end deffn
37301 @geindex Floating_Inequality (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
37302 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Floating_Inequality}@anchor{130c}
37303 @deffn {Attribute} Floating_Inequality  =  55
37304 @end deffn
37306 @geindex Floating_Less (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
37307 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Floating_Less}@anchor{130d}
37308 @deffn {Attribute} Floating_Less  =  56
37309 @end deffn
37311 @geindex Floating_Less_Equal (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
37312 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Floating_Less_Equal}@anchor{130e}
37313 @deffn {Attribute} Floating_Less_Equal  =  57
37314 @end deffn
37316 @geindex Floating_Greater (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
37317 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Floating_Greater}@anchor{130f}
37318 @deffn {Attribute} Floating_Greater  =  58
37319 @end deffn
37321 @geindex Floating_Greater_Equal (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
37322 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Floating_Greater_Equal}@anchor{1310}
37323 @deffn {Attribute} Floating_Greater_Equal  =  59
37324 @end deffn
37326 @geindex Floating_Identity (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
37327 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Floating_Identity}@anchor{1311}
37328 @deffn {Attribute} Floating_Identity  =  60
37329 @end deffn
37331 @geindex Floating_Negation (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
37332 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Floating_Negation}@anchor{1312}
37333 @deffn {Attribute} Floating_Negation  =  61
37334 @end deffn
37336 @geindex Floating_Absolute (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
37337 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Floating_Absolute}@anchor{1313}
37338 @deffn {Attribute} Floating_Absolute  =  62
37339 @end deffn
37341 @geindex Floating_Plus (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
37342 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Floating_Plus}@anchor{1314}
37343 @deffn {Attribute} Floating_Plus  =  63
37344 @end deffn
37346 @geindex Floating_Minus (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
37347 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Floating_Minus}@anchor{1315}
37348 @deffn {Attribute} Floating_Minus  =  64
37349 @end deffn
37351 @geindex Floating_Mul (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
37352 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Floating_Mul}@anchor{1316}
37353 @deffn {Attribute} Floating_Mul  =  65
37354 @end deffn
37356 @geindex Floating_Div (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
37357 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Floating_Div}@anchor{1317}
37358 @deffn {Attribute} Floating_Div  =  66
37359 @end deffn
37361 @geindex Floating_Exp (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
37362 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Floating_Exp}@anchor{1318}
37363 @deffn {Attribute} Floating_Exp  =  67
37364 @end deffn
37366 @geindex Floating_Minimum (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
37367 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Floating_Minimum}@anchor{1319}
37368 @deffn {Attribute} Floating_Minimum  =  68
37369 @end deffn
37371 @geindex Floating_Maximum (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
37372 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Floating_Maximum}@anchor{131a}
37373 @deffn {Attribute} Floating_Maximum  =  69
37374 @end deffn
37376 @geindex Floating_To_String (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
37377 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Floating_To_String}@anchor{131b}
37378 @deffn {Attribute} Floating_To_String  =  70
37379 @end deffn
37381 @geindex Real_To_String_Digits (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
37382 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Real_To_String_Digits}@anchor{131c}
37383 @deffn {Attribute} Real_To_String_Digits  =  71
37384 @end deffn
37386 @geindex Real_To_String_Format (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
37387 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Real_To_String_Format}@anchor{131d}
37388 @deffn {Attribute} Real_To_String_Format  =  72
37389 @end deffn
37391 @geindex Universal_R_I_Mul (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
37392 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Universal_R_I_Mul}@anchor{131e}
37393 @deffn {Attribute} Universal_R_I_Mul  =  73
37394 @end deffn
37396 @geindex Universal_I_R_Mul (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
37397 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Universal_I_R_Mul}@anchor{131f}
37398 @deffn {Attribute} Universal_I_R_Mul  =  74
37399 @end deffn
37401 @geindex Universal_R_I_Div (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
37402 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Universal_R_I_Div}@anchor{1320}
37403 @deffn {Attribute} Universal_R_I_Div  =  75
37404 @end deffn
37406 @geindex Physical_Equality (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
37407 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Physical_Equality}@anchor{1321}
37408 @deffn {Attribute} Physical_Equality  =  76
37409 @end deffn
37411 @geindex Physical_Inequality (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
37412 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Physical_Inequality}@anchor{1322}
37413 @deffn {Attribute} Physical_Inequality  =  77
37414 @end deffn
37416 @geindex Physical_Less (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
37417 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Physical_Less}@anchor{1323}
37418 @deffn {Attribute} Physical_Less  =  78
37419 @end deffn
37421 @geindex Physical_Less_Equal (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
37422 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Physical_Less_Equal}@anchor{1324}
37423 @deffn {Attribute} Physical_Less_Equal  =  79
37424 @end deffn
37426 @geindex Physical_Greater (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
37427 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Physical_Greater}@anchor{1325}
37428 @deffn {Attribute} Physical_Greater  =  80
37429 @end deffn
37431 @geindex Physical_Greater_Equal (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
37432 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Physical_Greater_Equal}@anchor{1326}
37433 @deffn {Attribute} Physical_Greater_Equal  =  81
37434 @end deffn
37436 @geindex Physical_Identity (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
37437 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Physical_Identity}@anchor{1327}
37438 @deffn {Attribute} Physical_Identity  =  82
37439 @end deffn
37441 @geindex Physical_Negation (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
37442 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Physical_Negation}@anchor{1328}
37443 @deffn {Attribute} Physical_Negation  =  83
37444 @end deffn
37446 @geindex Physical_Absolute (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
37447 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Physical_Absolute}@anchor{1329}
37448 @deffn {Attribute} Physical_Absolute  =  84
37449 @end deffn
37451 @geindex Physical_Plus (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
37452 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Physical_Plus}@anchor{132a}
37453 @deffn {Attribute} Physical_Plus  =  85
37454 @end deffn
37456 @geindex Physical_Minus (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
37457 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Physical_Minus}@anchor{132b}
37458 @deffn {Attribute} Physical_Minus  =  86
37459 @end deffn
37461 @geindex Physical_Integer_Mul (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
37462 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Physical_Integer_Mul}@anchor{132c}
37463 @deffn {Attribute} Physical_Integer_Mul  =  87
37464 @end deffn
37466 @geindex Physical_Real_Mul (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
37467 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Physical_Real_Mul}@anchor{132d}
37468 @deffn {Attribute} Physical_Real_Mul  =  88
37469 @end deffn
37471 @geindex Integer_Physical_Mul (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
37472 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Integer_Physical_Mul}@anchor{132e}
37473 @deffn {Attribute} Integer_Physical_Mul  =  89
37474 @end deffn
37476 @geindex Real_Physical_Mul (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
37477 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Real_Physical_Mul}@anchor{132f}
37478 @deffn {Attribute} Real_Physical_Mul  =  90
37479 @end deffn
37481 @geindex Physical_Integer_Div (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
37482 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Physical_Integer_Div}@anchor{1330}
37483 @deffn {Attribute} Physical_Integer_Div  =  91
37484 @end deffn
37486 @geindex Physical_Real_Div (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
37487 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Physical_Real_Div}@anchor{1331}
37488 @deffn {Attribute} Physical_Real_Div  =  92
37489 @end deffn
37491 @geindex Physical_Physical_Div (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
37492 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Physical_Physical_Div}@anchor{1332}
37493 @deffn {Attribute} Physical_Physical_Div  =  93
37494 @end deffn
37496 @geindex Physical_Mod (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
37497 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Physical_Mod}@anchor{1333}
37498 @deffn {Attribute} Physical_Mod  =  94
37499 @end deffn
37501 @geindex Physical_Rem (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
37502 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Physical_Rem}@anchor{1334}
37503 @deffn {Attribute} Physical_Rem  =  95
37504 @end deffn
37506 @geindex Physical_Minimum (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
37507 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Physical_Minimum}@anchor{1335}
37508 @deffn {Attribute} Physical_Minimum  =  96
37509 @end deffn
37511 @geindex Physical_Maximum (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
37512 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Physical_Maximum}@anchor{1336}
37513 @deffn {Attribute} Physical_Maximum  =  97
37514 @end deffn
37516 @geindex Physical_To_String (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
37517 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Physical_To_String}@anchor{1337}
37518 @deffn {Attribute} Physical_To_String  =  98
37519 @end deffn
37521 @geindex Time_To_String_Unit (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
37522 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Time_To_String_Unit}@anchor{1338}
37523 @deffn {Attribute} Time_To_String_Unit  =  99
37524 @end deffn
37526 @geindex Access_Equality (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
37527 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Access_Equality}@anchor{1339}
37528 @deffn {Attribute} Access_Equality  =  100
37529 @end deffn
37531 @geindex Access_Inequality (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
37532 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Access_Inequality}@anchor{133a}
37533 @deffn {Attribute} Access_Inequality  =  101
37534 @end deffn
37536 @geindex Record_Equality (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
37537 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Record_Equality}@anchor{133b}
37538 @deffn {Attribute} Record_Equality  =  102
37539 @end deffn
37541 @geindex Record_Inequality (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
37542 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Record_Inequality}@anchor{133c}
37543 @deffn {Attribute} Record_Inequality  =  103
37544 @end deffn
37546 @geindex Array_Equality (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
37547 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Array_Equality}@anchor{133d}
37548 @deffn {Attribute} Array_Equality  =  104
37549 @end deffn
37551 @geindex Array_Inequality (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
37552 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Array_Inequality}@anchor{133e}
37553 @deffn {Attribute} Array_Inequality  =  105
37554 @end deffn
37556 @geindex Array_Less (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
37557 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Array_Less}@anchor{133f}
37558 @deffn {Attribute} Array_Less  =  106
37559 @end deffn
37561 @geindex Array_Less_Equal (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
37562 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Array_Less_Equal}@anchor{1340}
37563 @deffn {Attribute} Array_Less_Equal  =  107
37564 @end deffn
37566 @geindex Array_Greater (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
37567 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Array_Greater}@anchor{1341}
37568 @deffn {Attribute} Array_Greater  =  108
37569 @end deffn
37571 @geindex Array_Greater_Equal (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
37572 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Array_Greater_Equal}@anchor{1342}
37573 @deffn {Attribute} Array_Greater_Equal  =  109
37574 @end deffn
37576 @geindex Array_Array_Concat (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
37577 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Array_Array_Concat}@anchor{1343}
37578 @deffn {Attribute} Array_Array_Concat  =  110
37579 @end deffn
37581 @geindex Array_Element_Concat (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
37582 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Array_Element_Concat}@anchor{1344}
37583 @deffn {Attribute} Array_Element_Concat  =  111
37584 @end deffn
37586 @geindex Element_Array_Concat (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
37587 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Element_Array_Concat}@anchor{1345}
37588 @deffn {Attribute} Element_Array_Concat  =  112
37589 @end deffn
37591 @geindex Element_Element_Concat (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
37592 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Element_Element_Concat}@anchor{1346}
37593 @deffn {Attribute} Element_Element_Concat  =  113
37594 @end deffn
37596 @geindex Array_Minimum (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
37597 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Array_Minimum}@anchor{1347}
37598 @deffn {Attribute} Array_Minimum  =  114
37599 @end deffn
37601 @geindex Array_Maximum (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
37602 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Array_Maximum}@anchor{1348}
37603 @deffn {Attribute} Array_Maximum  =  115
37604 @end deffn
37606 @geindex Vector_Minimum (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
37607 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Vector_Minimum}@anchor{1349}
37608 @deffn {Attribute} Vector_Minimum  =  116
37609 @end deffn
37611 @geindex Vector_Maximum (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
37612 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Vector_Maximum}@anchor{134a}
37613 @deffn {Attribute} Vector_Maximum  =  117
37614 @end deffn
37616 @geindex Array_Sll (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
37617 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Array_Sll}@anchor{134b}
37618 @deffn {Attribute} Array_Sll  =  118
37619 @end deffn
37621 @geindex Array_Srl (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
37622 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Array_Srl}@anchor{134c}
37623 @deffn {Attribute} Array_Srl  =  119
37624 @end deffn
37626 @geindex Array_Sla (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
37627 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Array_Sla}@anchor{134d}
37628 @deffn {Attribute} Array_Sla  =  120
37629 @end deffn
37631 @geindex Array_Sra (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
37632 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Array_Sra}@anchor{134e}
37633 @deffn {Attribute} Array_Sra  =  121
37634 @end deffn
37636 @geindex Array_Rol (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
37637 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Array_Rol}@anchor{134f}
37638 @deffn {Attribute} Array_Rol  =  122
37639 @end deffn
37641 @geindex Array_Ror (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
37642 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Array_Ror}@anchor{1350}
37643 @deffn {Attribute} Array_Ror  =  123
37644 @end deffn
37646 @geindex TF_Array_And (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
37647 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined TF_Array_And}@anchor{1351}
37648 @deffn {Attribute} TF_Array_And  =  124
37649 @end deffn
37651 @geindex TF_Array_Or (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
37652 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined TF_Array_Or}@anchor{1352}
37653 @deffn {Attribute} TF_Array_Or  =  125
37654 @end deffn
37656 @geindex TF_Array_Nand (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
37657 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined TF_Array_Nand}@anchor{1353}
37658 @deffn {Attribute} TF_Array_Nand  =  126
37659 @end deffn
37661 @geindex TF_Array_Nor (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
37662 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined TF_Array_Nor}@anchor{1354}
37663 @deffn {Attribute} TF_Array_Nor  =  127
37664 @end deffn
37666 @geindex TF_Array_Xor (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
37667 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined TF_Array_Xor}@anchor{1355}
37668 @deffn {Attribute} TF_Array_Xor  =  128
37669 @end deffn
37671 @geindex TF_Array_Xnor (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
37672 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined TF_Array_Xnor}@anchor{1356}
37673 @deffn {Attribute} TF_Array_Xnor  =  129
37674 @end deffn
37676 @geindex TF_Array_Not (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
37677 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined TF_Array_Not}@anchor{1357}
37678 @deffn {Attribute} TF_Array_Not  =  130
37679 @end deffn
37681 @geindex TF_Reduction_And (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
37682 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined TF_Reduction_And}@anchor{1358}
37683 @deffn {Attribute} TF_Reduction_And  =  131
37684 @end deffn
37686 @geindex TF_Reduction_Or (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
37687 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined TF_Reduction_Or}@anchor{1359}
37688 @deffn {Attribute} TF_Reduction_Or  =  132
37689 @end deffn
37691 @geindex TF_Reduction_Nand (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
37692 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined TF_Reduction_Nand}@anchor{135a}
37693 @deffn {Attribute} TF_Reduction_Nand  =  133
37694 @end deffn
37696 @geindex TF_Reduction_Nor (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
37697 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined TF_Reduction_Nor}@anchor{135b}
37698 @deffn {Attribute} TF_Reduction_Nor  =  134
37699 @end deffn
37701 @geindex TF_Reduction_Xor (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
37702 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined TF_Reduction_Xor}@anchor{135c}
37703 @deffn {Attribute} TF_Reduction_Xor  =  135
37704 @end deffn
37706 @geindex TF_Reduction_Xnor (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
37707 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined TF_Reduction_Xnor}@anchor{135d}
37708 @deffn {Attribute} TF_Reduction_Xnor  =  136
37709 @end deffn
37711 @geindex TF_Reduction_Not (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
37712 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined TF_Reduction_Not}@anchor{135e}
37713 @deffn {Attribute} TF_Reduction_Not  =  137
37714 @end deffn
37716 @geindex TF_Array_Element_And (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
37717 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined TF_Array_Element_And}@anchor{135f}
37718 @deffn {Attribute} TF_Array_Element_And  =  138
37719 @end deffn
37721 @geindex TF_Element_Array_And (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
37722 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined TF_Element_Array_And}@anchor{1360}
37723 @deffn {Attribute} TF_Element_Array_And  =  139
37724 @end deffn
37726 @geindex TF_Array_Element_Or (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
37727 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined TF_Array_Element_Or}@anchor{1361}
37728 @deffn {Attribute} TF_Array_Element_Or  =  140
37729 @end deffn
37731 @geindex TF_Element_Array_Or (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
37732 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined TF_Element_Array_Or}@anchor{1362}
37733 @deffn {Attribute} TF_Element_Array_Or  =  141
37734 @end deffn
37736 @geindex TF_Array_Element_Nand (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
37737 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined TF_Array_Element_Nand}@anchor{1363}
37738 @deffn {Attribute} TF_Array_Element_Nand  =  142
37739 @end deffn
37741 @geindex TF_Element_Array_Nand (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
37742 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined TF_Element_Array_Nand}@anchor{1364}
37743 @deffn {Attribute} TF_Element_Array_Nand  =  143
37744 @end deffn
37746 @geindex TF_Array_Element_Nor (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
37747 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined TF_Array_Element_Nor}@anchor{1365}
37748 @deffn {Attribute} TF_Array_Element_Nor  =  144
37749 @end deffn
37751 @geindex TF_Element_Array_Nor (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
37752 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined TF_Element_Array_Nor}@anchor{1366}
37753 @deffn {Attribute} TF_Element_Array_Nor  =  145
37754 @end deffn
37756 @geindex TF_Array_Element_Xor (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
37757 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined TF_Array_Element_Xor}@anchor{1367}
37758 @deffn {Attribute} TF_Array_Element_Xor  =  146
37759 @end deffn
37761 @geindex TF_Element_Array_Xor (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
37762 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined TF_Element_Array_Xor}@anchor{1368}
37763 @deffn {Attribute} TF_Element_Array_Xor  =  147
37764 @end deffn
37766 @geindex TF_Array_Element_Xnor (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
37767 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined TF_Array_Element_Xnor}@anchor{1369}
37768 @deffn {Attribute} TF_Array_Element_Xnor  =  148
37769 @end deffn
37771 @geindex TF_Element_Array_Xnor (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
37772 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined TF_Element_Array_Xnor}@anchor{136a}
37773 @deffn {Attribute} TF_Element_Array_Xnor  =  149
37774 @end deffn
37776 @geindex Bit_Array_Match_Equality (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
37777 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Bit_Array_Match_Equality}@anchor{136b}
37778 @deffn {Attribute} Bit_Array_Match_Equality  =  150
37779 @end deffn
37781 @geindex Bit_Array_Match_Inequality (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
37782 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Bit_Array_Match_Inequality}@anchor{136c}
37783 @deffn {Attribute} Bit_Array_Match_Inequality  =  151
37784 @end deffn
37786 @geindex Array_Char_To_String (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
37787 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Array_Char_To_String}@anchor{136d}
37788 @deffn {Attribute} Array_Char_To_String  =  152
37789 @end deffn
37791 @geindex Bit_Vector_To_Ostring (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
37792 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Bit_Vector_To_Ostring}@anchor{136e}
37793 @deffn {Attribute} Bit_Vector_To_Ostring  =  153
37794 @end deffn
37796 @geindex Bit_Vector_To_Hstring (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
37797 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Bit_Vector_To_Hstring}@anchor{136f}
37798 @deffn {Attribute} Bit_Vector_To_Hstring  =  154
37799 @end deffn
37801 @geindex Std_Ulogic_Match_Equality (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
37802 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Std_Ulogic_Match_Equality}@anchor{1370}
37803 @deffn {Attribute} Std_Ulogic_Match_Equality  =  155
37804 @end deffn
37806 @geindex Std_Ulogic_Match_Inequality (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
37807 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Std_Ulogic_Match_Inequality}@anchor{1371}
37808 @deffn {Attribute} Std_Ulogic_Match_Inequality  =  156
37809 @end deffn
37811 @geindex Std_Ulogic_Match_Less (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
37812 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Std_Ulogic_Match_Less}@anchor{1372}
37813 @deffn {Attribute} Std_Ulogic_Match_Less  =  157
37814 @end deffn
37816 @geindex Std_Ulogic_Match_Less_Equal (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
37817 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Std_Ulogic_Match_Less_Equal}@anchor{1373}
37818 @deffn {Attribute} Std_Ulogic_Match_Less_Equal  =  158
37819 @end deffn
37821 @geindex Std_Ulogic_Match_Greater (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
37822 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Std_Ulogic_Match_Greater}@anchor{1374}
37823 @deffn {Attribute} Std_Ulogic_Match_Greater  =  159
37824 @end deffn
37826 @geindex Std_Ulogic_Match_Greater_Equal (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
37827 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Std_Ulogic_Match_Greater_Equal}@anchor{1375}
37828 @deffn {Attribute} Std_Ulogic_Match_Greater_Equal  =  160
37829 @end deffn
37831 @geindex Std_Ulogic_Array_Match_Equality (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
37832 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Std_Ulogic_Array_Match_Equality}@anchor{1376}
37833 @deffn {Attribute} Std_Ulogic_Array_Match_Equality  =  161
37834 @end deffn
37836 @geindex Std_Ulogic_Array_Match_Inequality (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
37837 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Std_Ulogic_Array_Match_Inequality}@anchor{1377}
37838 @deffn {Attribute} Std_Ulogic_Array_Match_Inequality  =  162
37839 @end deffn
37841 @geindex Deallocate (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
37842 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Deallocate}@anchor{1378}
37843 @deffn {Attribute} Deallocate  =  163
37844 @end deffn
37846 @geindex File_Open (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
37847 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined File_Open}@anchor{1379}
37848 @deffn {Attribute} File_Open  =  164
37849 @end deffn
37851 @geindex File_Open_Status (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
37852 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined File_Open_Status}@anchor{137a}
37853 @deffn {Attribute} File_Open_Status  =  165
37854 @end deffn
37856 @geindex File_Close (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
37857 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined File_Close}@anchor{137b}
37858 @deffn {Attribute} File_Close  =  166
37859 @end deffn
37861 @geindex Read (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
37862 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Read}@anchor{137c}
37863 @deffn {Attribute} Read  =  167
37864 @end deffn
37866 @geindex Read_Length (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
37867 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Read_Length}@anchor{137d}
37868 @deffn {Attribute} Read_Length  =  168
37869 @end deffn
37871 @geindex Flush (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
37872 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Flush}@anchor{137e}
37873 @deffn {Attribute} Flush  =  169
37874 @end deffn
37876 @geindex Write (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
37877 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Write}@anchor{137f}
37878 @deffn {Attribute} Write  =  170
37879 @end deffn
37881 @geindex Endfile (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
37882 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Endfile}@anchor{1380}
37883 @deffn {Attribute} Endfile  =  171
37884 @end deffn
37886 @geindex Now_Function (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
37887 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Now_Function}@anchor{1381}
37888 @deffn {Attribute} Now_Function  =  172
37889 @end deffn
37891 @geindex Real_Now_Function (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
37892 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Real_Now_Function}@anchor{1382}
37893 @deffn {Attribute} Real_Now_Function  =  173
37894 @end deffn
37896 @geindex Frequency_Function (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
37897 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Frequency_Function}@anchor{1383}
37898 @deffn {Attribute} Frequency_Function  =  174
37899 @end deffn
37901 @geindex PNone (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
37902 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined PNone}@anchor{1384}
37903 @deffn {Attribute} PNone  =  175
37904 @end deffn
37906 @geindex Foreign_Untruncated_Text_Read (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
37907 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Foreign_Untruncated_Text_Read}@anchor{1385}
37908 @deffn {Attribute} Foreign_Untruncated_Text_Read  =  176
37909 @end deffn
37911 @geindex Foreign_Textio_Read_Real (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
37912 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Foreign_Textio_Read_Real}@anchor{1386}
37913 @deffn {Attribute} Foreign_Textio_Read_Real  =  177
37914 @end deffn
37916 @geindex Foreign_Textio_Write_Real (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
37917 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Foreign_Textio_Write_Real}@anchor{1387}
37918 @deffn {Attribute} Foreign_Textio_Write_Real  =  178
37919 @end deffn
37921 @geindex Ieee_1164_Scalar_And (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
37922 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_1164_Scalar_And}@anchor{1388}
37923 @deffn {Attribute} Ieee_1164_Scalar_And  =  179
37924 @end deffn
37926 @geindex Ieee_1164_Scalar_Nand (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
37927 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_1164_Scalar_Nand}@anchor{1389}
37928 @deffn {Attribute} Ieee_1164_Scalar_Nand  =  180
37929 @end deffn
37931 @geindex Ieee_1164_Scalar_Or (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
37932 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_1164_Scalar_Or}@anchor{138a}
37933 @deffn {Attribute} Ieee_1164_Scalar_Or  =  181
37934 @end deffn
37936 @geindex Ieee_1164_Scalar_Nor (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
37937 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_1164_Scalar_Nor}@anchor{138b}
37938 @deffn {Attribute} Ieee_1164_Scalar_Nor  =  182
37939 @end deffn
37941 @geindex Ieee_1164_Scalar_Xor (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
37942 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_1164_Scalar_Xor}@anchor{138c}
37943 @deffn {Attribute} Ieee_1164_Scalar_Xor  =  183
37944 @end deffn
37946 @geindex Ieee_1164_Scalar_Xnor (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
37947 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_1164_Scalar_Xnor}@anchor{138d}
37948 @deffn {Attribute} Ieee_1164_Scalar_Xnor  =  184
37949 @end deffn
37951 @geindex Ieee_1164_Scalar_Not (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
37952 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_1164_Scalar_Not}@anchor{138e}
37953 @deffn {Attribute} Ieee_1164_Scalar_Not  =  185
37954 @end deffn
37956 @geindex Ieee_1164_Vector_And (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
37957 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_1164_Vector_And}@anchor{138f}
37958 @deffn {Attribute} Ieee_1164_Vector_And  =  186
37959 @end deffn
37961 @geindex Ieee_1164_Vector_Nand (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
37962 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_1164_Vector_Nand}@anchor{1390}
37963 @deffn {Attribute} Ieee_1164_Vector_Nand  =  187
37964 @end deffn
37966 @geindex Ieee_1164_Vector_Or (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
37967 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_1164_Vector_Or}@anchor{1391}
37968 @deffn {Attribute} Ieee_1164_Vector_Or  =  188
37969 @end deffn
37971 @geindex Ieee_1164_Vector_Nor (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
37972 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_1164_Vector_Nor}@anchor{1392}
37973 @deffn {Attribute} Ieee_1164_Vector_Nor  =  189
37974 @end deffn
37976 @geindex Ieee_1164_Vector_Xor (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
37977 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_1164_Vector_Xor}@anchor{1393}
37978 @deffn {Attribute} Ieee_1164_Vector_Xor  =  190
37979 @end deffn
37981 @geindex Ieee_1164_Vector_Xnor (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
37982 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_1164_Vector_Xnor}@anchor{1394}
37983 @deffn {Attribute} Ieee_1164_Vector_Xnor  =  191
37984 @end deffn
37986 @geindex Ieee_1164_Vector_Not (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
37987 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_1164_Vector_Not}@anchor{1395}
37988 @deffn {Attribute} Ieee_1164_Vector_Not  =  192
37989 @end deffn
37991 @geindex Ieee_1164_To_Bit (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
37992 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_1164_To_Bit}@anchor{1396}
37993 @deffn {Attribute} Ieee_1164_To_Bit  =  193
37994 @end deffn
37996 @geindex Ieee_1164_To_Bitvector (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
37997 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_1164_To_Bitvector}@anchor{1397}
37998 @deffn {Attribute} Ieee_1164_To_Bitvector  =  194
37999 @end deffn
38001 @geindex Ieee_1164_To_Stdulogic (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
38002 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_1164_To_Stdulogic}@anchor{1398}
38003 @deffn {Attribute} Ieee_1164_To_Stdulogic  =  195
38004 @end deffn
38006 @geindex Ieee_1164_To_Stdlogicvector_Bv (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
38007 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_1164_To_Stdlogicvector_Bv}@anchor{1399}
38008 @deffn {Attribute} Ieee_1164_To_Stdlogicvector_Bv  =  196
38009 @end deffn
38011 @geindex Ieee_1164_To_Stdlogicvector_Suv (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
38012 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_1164_To_Stdlogicvector_Suv}@anchor{139a}
38013 @deffn {Attribute} Ieee_1164_To_Stdlogicvector_Suv  =  197
38014 @end deffn
38016 @geindex Ieee_1164_To_Stdulogicvector_Bv (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
38017 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_1164_To_Stdulogicvector_Bv}@anchor{139b}
38018 @deffn {Attribute} Ieee_1164_To_Stdulogicvector_Bv  =  198
38019 @end deffn
38021 @geindex Ieee_1164_To_Stdulogicvector_Slv (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
38022 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_1164_To_Stdulogicvector_Slv}@anchor{139c}
38023 @deffn {Attribute} Ieee_1164_To_Stdulogicvector_Slv  =  199
38024 @end deffn
38026 @geindex Ieee_1164_To_X01_Slv (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
38027 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_1164_To_X01_Slv}@anchor{139d}
38028 @deffn {Attribute} Ieee_1164_To_X01_Slv  =  200
38029 @end deffn
38031 @geindex Ieee_1164_To_X01_Suv (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
38032 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_1164_To_X01_Suv}@anchor{139e}
38033 @deffn {Attribute} Ieee_1164_To_X01_Suv  =  201
38034 @end deffn
38036 @geindex Ieee_1164_To_X01_Log (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
38037 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_1164_To_X01_Log}@anchor{139f}
38038 @deffn {Attribute} Ieee_1164_To_X01_Log  =  202
38039 @end deffn
38041 @geindex Ieee_1164_To_X01_Bv_Slv (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
38042 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_1164_To_X01_Bv_Slv}@anchor{13a0}
38043 @deffn {Attribute} Ieee_1164_To_X01_Bv_Slv  =  203
38044 @end deffn
38046 @geindex Ieee_1164_To_X01_Bv_Suv (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
38047 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_1164_To_X01_Bv_Suv}@anchor{13a1}
38048 @deffn {Attribute} Ieee_1164_To_X01_Bv_Suv  =  204
38049 @end deffn
38051 @geindex Ieee_1164_To_X01_Bit_Log (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
38052 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_1164_To_X01_Bit_Log}@anchor{13a2}
38053 @deffn {Attribute} Ieee_1164_To_X01_Bit_Log  =  205
38054 @end deffn
38056 @geindex Ieee_1164_To_X01Z_Slv (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
38057 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_1164_To_X01Z_Slv}@anchor{13a3}
38058 @deffn {Attribute} Ieee_1164_To_X01Z_Slv  =  206
38059 @end deffn
38061 @geindex Ieee_1164_To_X01Z_Suv (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
38062 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_1164_To_X01Z_Suv}@anchor{13a4}
38063 @deffn {Attribute} Ieee_1164_To_X01Z_Suv  =  207
38064 @end deffn
38066 @geindex Ieee_1164_To_X01Z_Log (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
38067 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_1164_To_X01Z_Log}@anchor{13a5}
38068 @deffn {Attribute} Ieee_1164_To_X01Z_Log  =  208
38069 @end deffn
38071 @geindex Ieee_1164_To_X01Z_Bv_Slv (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
38072 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_1164_To_X01Z_Bv_Slv}@anchor{13a6}
38073 @deffn {Attribute} Ieee_1164_To_X01Z_Bv_Slv  =  209
38074 @end deffn
38076 @geindex Ieee_1164_To_X01Z_Bv_Suv (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
38077 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_1164_To_X01Z_Bv_Suv}@anchor{13a7}
38078 @deffn {Attribute} Ieee_1164_To_X01Z_Bv_Suv  =  210
38079 @end deffn
38081 @geindex Ieee_1164_To_X01Z_Bit_Log (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
38082 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_1164_To_X01Z_Bit_Log}@anchor{13a8}
38083 @deffn {Attribute} Ieee_1164_To_X01Z_Bit_Log  =  211
38084 @end deffn
38086 @geindex Ieee_1164_To_UX01_Slv (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
38087 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_1164_To_UX01_Slv}@anchor{13a9}
38088 @deffn {Attribute} Ieee_1164_To_UX01_Slv  =  212
38089 @end deffn
38091 @geindex Ieee_1164_To_UX01_Suv (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
38092 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_1164_To_UX01_Suv}@anchor{13aa}
38093 @deffn {Attribute} Ieee_1164_To_UX01_Suv  =  213
38094 @end deffn
38096 @geindex Ieee_1164_To_UX01_Log (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
38097 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_1164_To_UX01_Log}@anchor{13ab}
38098 @deffn {Attribute} Ieee_1164_To_UX01_Log  =  214
38099 @end deffn
38101 @geindex Ieee_1164_To_UX01_Bv_Slv (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
38102 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_1164_To_UX01_Bv_Slv}@anchor{13ac}
38103 @deffn {Attribute} Ieee_1164_To_UX01_Bv_Slv  =  215
38104 @end deffn
38106 @geindex Ieee_1164_To_UX01_Bv_Suv (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
38107 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_1164_To_UX01_Bv_Suv}@anchor{13ad}
38108 @deffn {Attribute} Ieee_1164_To_UX01_Bv_Suv  =  216
38109 @end deffn
38111 @geindex Ieee_1164_To_UX01_Bit_Log (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
38112 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_1164_To_UX01_Bit_Log}@anchor{13ae}
38113 @deffn {Attribute} Ieee_1164_To_UX01_Bit_Log  =  217
38114 @end deffn
38116 @geindex Ieee_1164_Vector_Is_X (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
38117 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_1164_Vector_Is_X}@anchor{13af}
38118 @deffn {Attribute} Ieee_1164_Vector_Is_X  =  218
38119 @end deffn
38121 @geindex Ieee_1164_Scalar_Is_X (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
38122 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_1164_Scalar_Is_X}@anchor{13b0}
38123 @deffn {Attribute} Ieee_1164_Scalar_Is_X  =  219
38124 @end deffn
38126 @geindex Ieee_1164_Rising_Edge (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
38127 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_1164_Rising_Edge}@anchor{13b1}
38128 @deffn {Attribute} Ieee_1164_Rising_Edge  =  220
38129 @end deffn
38131 @geindex Ieee_1164_Falling_Edge (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
38132 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_1164_Falling_Edge}@anchor{13b2}
38133 @deffn {Attribute} Ieee_1164_Falling_Edge  =  221
38134 @end deffn
38136 @geindex Ieee_1164_And_Suv_Log (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
38137 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_1164_And_Suv_Log}@anchor{13b3}
38138 @deffn {Attribute} Ieee_1164_And_Suv_Log  =  222
38139 @end deffn
38141 @geindex Ieee_1164_And_Log_Suv (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
38142 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_1164_And_Log_Suv}@anchor{13b4}
38143 @deffn {Attribute} Ieee_1164_And_Log_Suv  =  223
38144 @end deffn
38146 @geindex Ieee_1164_Nand_Suv_Log (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
38147 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_1164_Nand_Suv_Log}@anchor{13b5}
38148 @deffn {Attribute} Ieee_1164_Nand_Suv_Log  =  224
38149 @end deffn
38151 @geindex Ieee_1164_Nand_Log_Suv (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
38152 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_1164_Nand_Log_Suv}@anchor{13b6}
38153 @deffn {Attribute} Ieee_1164_Nand_Log_Suv  =  225
38154 @end deffn
38156 @geindex Ieee_1164_Or_Suv_Log (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
38157 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_1164_Or_Suv_Log}@anchor{13b7}
38158 @deffn {Attribute} Ieee_1164_Or_Suv_Log  =  226
38159 @end deffn
38161 @geindex Ieee_1164_Or_Log_Suv (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
38162 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_1164_Or_Log_Suv}@anchor{13b8}
38163 @deffn {Attribute} Ieee_1164_Or_Log_Suv  =  227
38164 @end deffn
38166 @geindex Ieee_1164_Nor_Suv_Log (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
38167 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_1164_Nor_Suv_Log}@anchor{13b9}
38168 @deffn {Attribute} Ieee_1164_Nor_Suv_Log  =  228
38169 @end deffn
38171 @geindex Ieee_1164_Nor_Log_Suv (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
38172 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_1164_Nor_Log_Suv}@anchor{13ba}
38173 @deffn {Attribute} Ieee_1164_Nor_Log_Suv  =  229
38174 @end deffn
38176 @geindex Ieee_1164_Xor_Suv_Log (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
38177 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_1164_Xor_Suv_Log}@anchor{13bb}
38178 @deffn {Attribute} Ieee_1164_Xor_Suv_Log  =  230
38179 @end deffn
38181 @geindex Ieee_1164_Xor_Log_Suv (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
38182 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_1164_Xor_Log_Suv}@anchor{13bc}
38183 @deffn {Attribute} Ieee_1164_Xor_Log_Suv  =  231
38184 @end deffn
38186 @geindex Ieee_1164_Xnor_Suv_Log (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
38187 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_1164_Xnor_Suv_Log}@anchor{13bd}
38188 @deffn {Attribute} Ieee_1164_Xnor_Suv_Log  =  232
38189 @end deffn
38191 @geindex Ieee_1164_Xnor_Log_Suv (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
38192 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_1164_Xnor_Log_Suv}@anchor{13be}
38193 @deffn {Attribute} Ieee_1164_Xnor_Log_Suv  =  233
38194 @end deffn
38196 @geindex Ieee_1164_And_Suv (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
38197 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_1164_And_Suv}@anchor{13bf}
38198 @deffn {Attribute} Ieee_1164_And_Suv  =  234
38199 @end deffn
38201 @geindex Ieee_1164_Nand_Suv (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
38202 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_1164_Nand_Suv}@anchor{13c0}
38203 @deffn {Attribute} Ieee_1164_Nand_Suv  =  235
38204 @end deffn
38206 @geindex Ieee_1164_Or_Suv (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
38207 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_1164_Or_Suv}@anchor{13c1}
38208 @deffn {Attribute} Ieee_1164_Or_Suv  =  236
38209 @end deffn
38211 @geindex Ieee_1164_Nor_Suv (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
38212 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_1164_Nor_Suv}@anchor{13c2}
38213 @deffn {Attribute} Ieee_1164_Nor_Suv  =  237
38214 @end deffn
38216 @geindex Ieee_1164_Xor_Suv (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
38217 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_1164_Xor_Suv}@anchor{13c3}
38218 @deffn {Attribute} Ieee_1164_Xor_Suv  =  238
38219 @end deffn
38221 @geindex Ieee_1164_Xnor_Suv (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
38222 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_1164_Xnor_Suv}@anchor{13c4}
38223 @deffn {Attribute} Ieee_1164_Xnor_Suv  =  239
38224 @end deffn
38226 @geindex Ieee_1164_Vector_Sll (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
38227 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_1164_Vector_Sll}@anchor{13c5}
38228 @deffn {Attribute} Ieee_1164_Vector_Sll  =  240
38229 @end deffn
38231 @geindex Ieee_1164_Vector_Srl (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
38232 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_1164_Vector_Srl}@anchor{13c6}
38233 @deffn {Attribute} Ieee_1164_Vector_Srl  =  241
38234 @end deffn
38236 @geindex Ieee_1164_Vector_Rol (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
38237 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_1164_Vector_Rol}@anchor{13c7}
38238 @deffn {Attribute} Ieee_1164_Vector_Rol  =  242
38239 @end deffn
38241 @geindex Ieee_1164_Vector_Ror (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
38242 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_1164_Vector_Ror}@anchor{13c8}
38243 @deffn {Attribute} Ieee_1164_Vector_Ror  =  243
38244 @end deffn
38246 @geindex Ieee_1164_Condition_Operator (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
38247 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_1164_Condition_Operator}@anchor{13c9}
38248 @deffn {Attribute} Ieee_1164_Condition_Operator  =  244
38249 @end deffn
38251 @geindex Ieee_Numeric_Std_Toint_Uns_Nat (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
38252 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Toint_Uns_Nat}@anchor{13ca}
38253 @deffn {Attribute} Ieee_Numeric_Std_Toint_Uns_Nat  =  245
38254 @end deffn
38256 @geindex Ieee_Numeric_Std_Toint_Sgn_Int (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
38257 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Toint_Sgn_Int}@anchor{13cb}
38258 @deffn {Attribute} Ieee_Numeric_Std_Toint_Sgn_Int  =  246
38259 @end deffn
38261 @geindex Ieee_Numeric_Std_Touns_Nat_Nat_Uns (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
38262 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Touns_Nat_Nat_Uns}@anchor{13cc}
38263 @deffn {Attribute} Ieee_Numeric_Std_Touns_Nat_Nat_Uns  =  247
38264 @end deffn
38266 @geindex Ieee_Numeric_Std_Touns_Nat_Uns_Uns (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
38267 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Touns_Nat_Uns_Uns}@anchor{13cd}
38268 @deffn {Attribute} Ieee_Numeric_Std_Touns_Nat_Uns_Uns  =  248
38269 @end deffn
38271 @geindex Ieee_Numeric_Std_Tosgn_Int_Nat_Sgn (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
38272 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Tosgn_Int_Nat_Sgn}@anchor{13ce}
38273 @deffn {Attribute} Ieee_Numeric_Std_Tosgn_Int_Nat_Sgn  =  249
38274 @end deffn
38276 @geindex Ieee_Numeric_Std_Tosgn_Int_Sgn_Sgn (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
38277 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Tosgn_Int_Sgn_Sgn}@anchor{13cf}
38278 @deffn {Attribute} Ieee_Numeric_Std_Tosgn_Int_Sgn_Sgn  =  250
38279 @end deffn
38281 @geindex Ieee_Numeric_Std_Resize_Uns_Nat (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
38282 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Resize_Uns_Nat}@anchor{13d0}
38283 @deffn {Attribute} Ieee_Numeric_Std_Resize_Uns_Nat  =  251
38284 @end deffn
38286 @geindex Ieee_Numeric_Std_Resize_Sgn_Nat (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
38287 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Resize_Sgn_Nat}@anchor{13d1}
38288 @deffn {Attribute} Ieee_Numeric_Std_Resize_Sgn_Nat  =  252
38289 @end deffn
38291 @geindex Ieee_Numeric_Std_Resize_Uns_Uns (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
38292 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Resize_Uns_Uns}@anchor{13d2}
38293 @deffn {Attribute} Ieee_Numeric_Std_Resize_Uns_Uns  =  253
38294 @end deffn
38296 @geindex Ieee_Numeric_Std_Resize_Sgn_Sgn (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
38297 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Resize_Sgn_Sgn}@anchor{13d3}
38298 @deffn {Attribute} Ieee_Numeric_Std_Resize_Sgn_Sgn  =  254
38299 @end deffn
38301 @geindex Ieee_Numeric_Std_Add_Uns_Uns (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
38302 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Add_Uns_Uns}@anchor{13d4}
38303 @deffn {Attribute} Ieee_Numeric_Std_Add_Uns_Uns  =  255
38304 @end deffn
38306 @geindex Ieee_Numeric_Std_Add_Uns_Nat (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
38307 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Add_Uns_Nat}@anchor{13d5}
38308 @deffn {Attribute} Ieee_Numeric_Std_Add_Uns_Nat  =  256
38309 @end deffn
38311 @geindex Ieee_Numeric_Std_Add_Nat_Uns (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
38312 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Add_Nat_Uns}@anchor{13d6}
38313 @deffn {Attribute} Ieee_Numeric_Std_Add_Nat_Uns  =  257
38314 @end deffn
38316 @geindex Ieee_Numeric_Std_Add_Uns_Log (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
38317 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Add_Uns_Log}@anchor{13d7}
38318 @deffn {Attribute} Ieee_Numeric_Std_Add_Uns_Log  =  258
38319 @end deffn
38321 @geindex Ieee_Numeric_Std_Add_Log_Uns (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
38322 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Add_Log_Uns}@anchor{13d8}
38323 @deffn {Attribute} Ieee_Numeric_Std_Add_Log_Uns  =  259
38324 @end deffn
38326 @geindex Ieee_Numeric_Std_Add_Sgn_Sgn (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
38327 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Add_Sgn_Sgn}@anchor{13d9}
38328 @deffn {Attribute} Ieee_Numeric_Std_Add_Sgn_Sgn  =  260
38329 @end deffn
38331 @geindex Ieee_Numeric_Std_Add_Sgn_Int (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
38332 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Add_Sgn_Int}@anchor{13da}
38333 @deffn {Attribute} Ieee_Numeric_Std_Add_Sgn_Int  =  261
38334 @end deffn
38336 @geindex Ieee_Numeric_Std_Add_Int_Sgn (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
38337 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Add_Int_Sgn}@anchor{13db}
38338 @deffn {Attribute} Ieee_Numeric_Std_Add_Int_Sgn  =  262
38339 @end deffn
38341 @geindex Ieee_Numeric_Std_Add_Sgn_Log (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
38342 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Add_Sgn_Log}@anchor{13dc}
38343 @deffn {Attribute} Ieee_Numeric_Std_Add_Sgn_Log  =  263
38344 @end deffn
38346 @geindex Ieee_Numeric_Std_Add_Log_Sgn (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
38347 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Add_Log_Sgn}@anchor{13dd}
38348 @deffn {Attribute} Ieee_Numeric_Std_Add_Log_Sgn  =  264
38349 @end deffn
38351 @geindex Ieee_Numeric_Std_Sub_Uns_Uns (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
38352 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Sub_Uns_Uns}@anchor{13de}
38353 @deffn {Attribute} Ieee_Numeric_Std_Sub_Uns_Uns  =  265
38354 @end deffn
38356 @geindex Ieee_Numeric_Std_Sub_Uns_Nat (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
38357 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Sub_Uns_Nat}@anchor{13df}
38358 @deffn {Attribute} Ieee_Numeric_Std_Sub_Uns_Nat  =  266
38359 @end deffn
38361 @geindex Ieee_Numeric_Std_Sub_Nat_Uns (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
38362 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Sub_Nat_Uns}@anchor{13e0}
38363 @deffn {Attribute} Ieee_Numeric_Std_Sub_Nat_Uns  =  267
38364 @end deffn
38366 @geindex Ieee_Numeric_Std_Sub_Uns_Log (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
38367 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Sub_Uns_Log}@anchor{13e1}
38368 @deffn {Attribute} Ieee_Numeric_Std_Sub_Uns_Log  =  268
38369 @end deffn
38371 @geindex Ieee_Numeric_Std_Sub_Log_Uns (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
38372 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Sub_Log_Uns}@anchor{13e2}
38373 @deffn {Attribute} Ieee_Numeric_Std_Sub_Log_Uns  =  269
38374 @end deffn
38376 @geindex Ieee_Numeric_Std_Sub_Sgn_Sgn (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
38377 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Sub_Sgn_Sgn}@anchor{13e3}
38378 @deffn {Attribute} Ieee_Numeric_Std_Sub_Sgn_Sgn  =  270
38379 @end deffn
38381 @geindex Ieee_Numeric_Std_Sub_Sgn_Int (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
38382 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Sub_Sgn_Int}@anchor{13e4}
38383 @deffn {Attribute} Ieee_Numeric_Std_Sub_Sgn_Int  =  271
38384 @end deffn
38386 @geindex Ieee_Numeric_Std_Sub_Int_Sgn (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
38387 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Sub_Int_Sgn}@anchor{13e5}
38388 @deffn {Attribute} Ieee_Numeric_Std_Sub_Int_Sgn  =  272
38389 @end deffn
38391 @geindex Ieee_Numeric_Std_Sub_Sgn_Log (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
38392 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Sub_Sgn_Log}@anchor{13e6}
38393 @deffn {Attribute} Ieee_Numeric_Std_Sub_Sgn_Log  =  273
38394 @end deffn
38396 @geindex Ieee_Numeric_Std_Sub_Log_Sgn (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
38397 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Sub_Log_Sgn}@anchor{13e7}
38398 @deffn {Attribute} Ieee_Numeric_Std_Sub_Log_Sgn  =  274
38399 @end deffn
38401 @geindex Ieee_Numeric_Std_Mul_Uns_Uns (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
38402 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Mul_Uns_Uns}@anchor{13e8}
38403 @deffn {Attribute} Ieee_Numeric_Std_Mul_Uns_Uns  =  275
38404 @end deffn
38406 @geindex Ieee_Numeric_Std_Mul_Uns_Nat (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
38407 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Mul_Uns_Nat}@anchor{13e9}
38408 @deffn {Attribute} Ieee_Numeric_Std_Mul_Uns_Nat  =  276
38409 @end deffn
38411 @geindex Ieee_Numeric_Std_Mul_Nat_Uns (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
38412 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Mul_Nat_Uns}@anchor{13ea}
38413 @deffn {Attribute} Ieee_Numeric_Std_Mul_Nat_Uns  =  277
38414 @end deffn
38416 @geindex Ieee_Numeric_Std_Mul_Sgn_Sgn (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
38417 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Mul_Sgn_Sgn}@anchor{13eb}
38418 @deffn {Attribute} Ieee_Numeric_Std_Mul_Sgn_Sgn  =  278
38419 @end deffn
38421 @geindex Ieee_Numeric_Std_Mul_Sgn_Int (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
38422 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Mul_Sgn_Int}@anchor{13ec}
38423 @deffn {Attribute} Ieee_Numeric_Std_Mul_Sgn_Int  =  279
38424 @end deffn
38426 @geindex Ieee_Numeric_Std_Mul_Int_Sgn (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
38427 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Mul_Int_Sgn}@anchor{13ed}
38428 @deffn {Attribute} Ieee_Numeric_Std_Mul_Int_Sgn  =  280
38429 @end deffn
38431 @geindex Ieee_Numeric_Std_Div_Uns_Uns (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
38432 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Div_Uns_Uns}@anchor{13ee}
38433 @deffn {Attribute} Ieee_Numeric_Std_Div_Uns_Uns  =  281
38434 @end deffn
38436 @geindex Ieee_Numeric_Std_Div_Uns_Nat (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
38437 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Div_Uns_Nat}@anchor{13ef}
38438 @deffn {Attribute} Ieee_Numeric_Std_Div_Uns_Nat  =  282
38439 @end deffn
38441 @geindex Ieee_Numeric_Std_Div_Nat_Uns (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
38442 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Div_Nat_Uns}@anchor{13f0}
38443 @deffn {Attribute} Ieee_Numeric_Std_Div_Nat_Uns  =  283
38444 @end deffn
38446 @geindex Ieee_Numeric_Std_Div_Sgn_Sgn (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
38447 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Div_Sgn_Sgn}@anchor{13f1}
38448 @deffn {Attribute} Ieee_Numeric_Std_Div_Sgn_Sgn  =  284
38449 @end deffn
38451 @geindex Ieee_Numeric_Std_Div_Sgn_Int (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
38452 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Div_Sgn_Int}@anchor{13f2}
38453 @deffn {Attribute} Ieee_Numeric_Std_Div_Sgn_Int  =  285
38454 @end deffn
38456 @geindex Ieee_Numeric_Std_Div_Int_Sgn (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
38457 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Div_Int_Sgn}@anchor{13f3}
38458 @deffn {Attribute} Ieee_Numeric_Std_Div_Int_Sgn  =  286
38459 @end deffn
38461 @geindex Ieee_Numeric_Std_Rem_Uns_Uns (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
38462 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Rem_Uns_Uns}@anchor{13f4}
38463 @deffn {Attribute} Ieee_Numeric_Std_Rem_Uns_Uns  =  287
38464 @end deffn
38466 @geindex Ieee_Numeric_Std_Rem_Uns_Nat (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
38467 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Rem_Uns_Nat}@anchor{13f5}
38468 @deffn {Attribute} Ieee_Numeric_Std_Rem_Uns_Nat  =  288
38469 @end deffn
38471 @geindex Ieee_Numeric_Std_Rem_Nat_Uns (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
38472 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Rem_Nat_Uns}@anchor{13f6}
38473 @deffn {Attribute} Ieee_Numeric_Std_Rem_Nat_Uns  =  289
38474 @end deffn
38476 @geindex Ieee_Numeric_Std_Rem_Sgn_Sgn (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
38477 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Rem_Sgn_Sgn}@anchor{13f7}
38478 @deffn {Attribute} Ieee_Numeric_Std_Rem_Sgn_Sgn  =  290
38479 @end deffn
38481 @geindex Ieee_Numeric_Std_Rem_Sgn_Int (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
38482 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Rem_Sgn_Int}@anchor{13f8}
38483 @deffn {Attribute} Ieee_Numeric_Std_Rem_Sgn_Int  =  291
38484 @end deffn
38486 @geindex Ieee_Numeric_Std_Rem_Int_Sgn (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
38487 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Rem_Int_Sgn}@anchor{13f9}
38488 @deffn {Attribute} Ieee_Numeric_Std_Rem_Int_Sgn  =  292
38489 @end deffn
38491 @geindex Ieee_Numeric_Std_Mod_Uns_Uns (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
38492 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Mod_Uns_Uns}@anchor{13fa}
38493 @deffn {Attribute} Ieee_Numeric_Std_Mod_Uns_Uns  =  293
38494 @end deffn
38496 @geindex Ieee_Numeric_Std_Mod_Uns_Nat (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
38497 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Mod_Uns_Nat}@anchor{13fb}
38498 @deffn {Attribute} Ieee_Numeric_Std_Mod_Uns_Nat  =  294
38499 @end deffn
38501 @geindex Ieee_Numeric_Std_Mod_Nat_Uns (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
38502 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Mod_Nat_Uns}@anchor{13fc}
38503 @deffn {Attribute} Ieee_Numeric_Std_Mod_Nat_Uns  =  295
38504 @end deffn
38506 @geindex Ieee_Numeric_Std_Mod_Sgn_Sgn (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
38507 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Mod_Sgn_Sgn}@anchor{13fd}
38508 @deffn {Attribute} Ieee_Numeric_Std_Mod_Sgn_Sgn  =  296
38509 @end deffn
38511 @geindex Ieee_Numeric_Std_Mod_Sgn_Int (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
38512 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Mod_Sgn_Int}@anchor{13fe}
38513 @deffn {Attribute} Ieee_Numeric_Std_Mod_Sgn_Int  =  297
38514 @end deffn
38516 @geindex Ieee_Numeric_Std_Mod_Int_Sgn (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
38517 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Mod_Int_Sgn}@anchor{13ff}
38518 @deffn {Attribute} Ieee_Numeric_Std_Mod_Int_Sgn  =  298
38519 @end deffn
38521 @geindex Ieee_Numeric_Std_Gt_Uns_Uns (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
38522 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Gt_Uns_Uns}@anchor{1400}
38523 @deffn {Attribute} Ieee_Numeric_Std_Gt_Uns_Uns  =  299
38524 @end deffn
38526 @geindex Ieee_Numeric_Std_Gt_Uns_Nat (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
38527 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Gt_Uns_Nat}@anchor{1401}
38528 @deffn {Attribute} Ieee_Numeric_Std_Gt_Uns_Nat  =  300
38529 @end deffn
38531 @geindex Ieee_Numeric_Std_Gt_Nat_Uns (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
38532 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Gt_Nat_Uns}@anchor{1402}
38533 @deffn {Attribute} Ieee_Numeric_Std_Gt_Nat_Uns  =  301
38534 @end deffn
38536 @geindex Ieee_Numeric_Std_Gt_Sgn_Sgn (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
38537 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Gt_Sgn_Sgn}@anchor{1403}
38538 @deffn {Attribute} Ieee_Numeric_Std_Gt_Sgn_Sgn  =  302
38539 @end deffn
38541 @geindex Ieee_Numeric_Std_Gt_Sgn_Int (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
38542 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Gt_Sgn_Int}@anchor{1404}
38543 @deffn {Attribute} Ieee_Numeric_Std_Gt_Sgn_Int  =  303
38544 @end deffn
38546 @geindex Ieee_Numeric_Std_Gt_Int_Sgn (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
38547 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Gt_Int_Sgn}@anchor{1405}
38548 @deffn {Attribute} Ieee_Numeric_Std_Gt_Int_Sgn  =  304
38549 @end deffn
38551 @geindex Ieee_Numeric_Std_Lt_Uns_Uns (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
38552 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Lt_Uns_Uns}@anchor{1406}
38553 @deffn {Attribute} Ieee_Numeric_Std_Lt_Uns_Uns  =  305
38554 @end deffn
38556 @geindex Ieee_Numeric_Std_Lt_Uns_Nat (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
38557 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Lt_Uns_Nat}@anchor{1407}
38558 @deffn {Attribute} Ieee_Numeric_Std_Lt_Uns_Nat  =  306
38559 @end deffn
38561 @geindex Ieee_Numeric_Std_Lt_Nat_Uns (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
38562 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Lt_Nat_Uns}@anchor{1408}
38563 @deffn {Attribute} Ieee_Numeric_Std_Lt_Nat_Uns  =  307
38564 @end deffn
38566 @geindex Ieee_Numeric_Std_Lt_Sgn_Sgn (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
38567 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Lt_Sgn_Sgn}@anchor{1409}
38568 @deffn {Attribute} Ieee_Numeric_Std_Lt_Sgn_Sgn  =  308
38569 @end deffn
38571 @geindex Ieee_Numeric_Std_Lt_Sgn_Int (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
38572 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Lt_Sgn_Int}@anchor{140a}
38573 @deffn {Attribute} Ieee_Numeric_Std_Lt_Sgn_Int  =  309
38574 @end deffn
38576 @geindex Ieee_Numeric_Std_Lt_Int_Sgn (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
38577 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Lt_Int_Sgn}@anchor{140b}
38578 @deffn {Attribute} Ieee_Numeric_Std_Lt_Int_Sgn  =  310
38579 @end deffn
38581 @geindex Ieee_Numeric_Std_Le_Uns_Uns (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
38582 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Le_Uns_Uns}@anchor{140c}
38583 @deffn {Attribute} Ieee_Numeric_Std_Le_Uns_Uns  =  311
38584 @end deffn
38586 @geindex Ieee_Numeric_Std_Le_Uns_Nat (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
38587 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Le_Uns_Nat}@anchor{140d}
38588 @deffn {Attribute} Ieee_Numeric_Std_Le_Uns_Nat  =  312
38589 @end deffn
38591 @geindex Ieee_Numeric_Std_Le_Nat_Uns (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
38592 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Le_Nat_Uns}@anchor{140e}
38593 @deffn {Attribute} Ieee_Numeric_Std_Le_Nat_Uns  =  313
38594 @end deffn
38596 @geindex Ieee_Numeric_Std_Le_Sgn_Sgn (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
38597 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Le_Sgn_Sgn}@anchor{140f}
38598 @deffn {Attribute} Ieee_Numeric_Std_Le_Sgn_Sgn  =  314
38599 @end deffn
38601 @geindex Ieee_Numeric_Std_Le_Sgn_Int (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
38602 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Le_Sgn_Int}@anchor{1410}
38603 @deffn {Attribute} Ieee_Numeric_Std_Le_Sgn_Int  =  315
38604 @end deffn
38606 @geindex Ieee_Numeric_Std_Le_Int_Sgn (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
38607 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Le_Int_Sgn}@anchor{1411}
38608 @deffn {Attribute} Ieee_Numeric_Std_Le_Int_Sgn  =  316
38609 @end deffn
38611 @geindex Ieee_Numeric_Std_Ge_Uns_Uns (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
38612 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Ge_Uns_Uns}@anchor{1412}
38613 @deffn {Attribute} Ieee_Numeric_Std_Ge_Uns_Uns  =  317
38614 @end deffn
38616 @geindex Ieee_Numeric_Std_Ge_Uns_Nat (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
38617 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Ge_Uns_Nat}@anchor{1413}
38618 @deffn {Attribute} Ieee_Numeric_Std_Ge_Uns_Nat  =  318
38619 @end deffn
38621 @geindex Ieee_Numeric_Std_Ge_Nat_Uns (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
38622 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Ge_Nat_Uns}@anchor{1414}
38623 @deffn {Attribute} Ieee_Numeric_Std_Ge_Nat_Uns  =  319
38624 @end deffn
38626 @geindex Ieee_Numeric_Std_Ge_Sgn_Sgn (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
38627 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Ge_Sgn_Sgn}@anchor{1415}
38628 @deffn {Attribute} Ieee_Numeric_Std_Ge_Sgn_Sgn  =  320
38629 @end deffn
38631 @geindex Ieee_Numeric_Std_Ge_Sgn_Int (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
38632 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Ge_Sgn_Int}@anchor{1416}
38633 @deffn {Attribute} Ieee_Numeric_Std_Ge_Sgn_Int  =  321
38634 @end deffn
38636 @geindex Ieee_Numeric_Std_Ge_Int_Sgn (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
38637 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Ge_Int_Sgn}@anchor{1417}
38638 @deffn {Attribute} Ieee_Numeric_Std_Ge_Int_Sgn  =  322
38639 @end deffn
38641 @geindex Ieee_Numeric_Std_Eq_Uns_Uns (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
38642 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Eq_Uns_Uns}@anchor{1418}
38643 @deffn {Attribute} Ieee_Numeric_Std_Eq_Uns_Uns  =  323
38644 @end deffn
38646 @geindex Ieee_Numeric_Std_Eq_Uns_Nat (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
38647 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Eq_Uns_Nat}@anchor{1419}
38648 @deffn {Attribute} Ieee_Numeric_Std_Eq_Uns_Nat  =  324
38649 @end deffn
38651 @geindex Ieee_Numeric_Std_Eq_Nat_Uns (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
38652 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Eq_Nat_Uns}@anchor{141a}
38653 @deffn {Attribute} Ieee_Numeric_Std_Eq_Nat_Uns  =  325
38654 @end deffn
38656 @geindex Ieee_Numeric_Std_Eq_Sgn_Sgn (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
38657 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Eq_Sgn_Sgn}@anchor{141b}
38658 @deffn {Attribute} Ieee_Numeric_Std_Eq_Sgn_Sgn  =  326
38659 @end deffn
38661 @geindex Ieee_Numeric_Std_Eq_Sgn_Int (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
38662 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Eq_Sgn_Int}@anchor{141c}
38663 @deffn {Attribute} Ieee_Numeric_Std_Eq_Sgn_Int  =  327
38664 @end deffn
38666 @geindex Ieee_Numeric_Std_Eq_Int_Sgn (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
38667 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Eq_Int_Sgn}@anchor{141d}
38668 @deffn {Attribute} Ieee_Numeric_Std_Eq_Int_Sgn  =  328
38669 @end deffn
38671 @geindex Ieee_Numeric_Std_Ne_Uns_Uns (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
38672 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Ne_Uns_Uns}@anchor{141e}
38673 @deffn {Attribute} Ieee_Numeric_Std_Ne_Uns_Uns  =  329
38674 @end deffn
38676 @geindex Ieee_Numeric_Std_Ne_Uns_Nat (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
38677 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Ne_Uns_Nat}@anchor{141f}
38678 @deffn {Attribute} Ieee_Numeric_Std_Ne_Uns_Nat  =  330
38679 @end deffn
38681 @geindex Ieee_Numeric_Std_Ne_Nat_Uns (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
38682 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Ne_Nat_Uns}@anchor{1420}
38683 @deffn {Attribute} Ieee_Numeric_Std_Ne_Nat_Uns  =  331
38684 @end deffn
38686 @geindex Ieee_Numeric_Std_Ne_Sgn_Sgn (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
38687 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Ne_Sgn_Sgn}@anchor{1421}
38688 @deffn {Attribute} Ieee_Numeric_Std_Ne_Sgn_Sgn  =  332
38689 @end deffn
38691 @geindex Ieee_Numeric_Std_Ne_Sgn_Int (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
38692 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Ne_Sgn_Int}@anchor{1422}
38693 @deffn {Attribute} Ieee_Numeric_Std_Ne_Sgn_Int  =  333
38694 @end deffn
38696 @geindex Ieee_Numeric_Std_Ne_Int_Sgn (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
38697 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Ne_Int_Sgn}@anchor{1423}
38698 @deffn {Attribute} Ieee_Numeric_Std_Ne_Int_Sgn  =  334
38699 @end deffn
38701 @geindex Ieee_Numeric_Std_Match_Gt_Uns_Uns (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
38702 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Match_Gt_Uns_Uns}@anchor{1424}
38703 @deffn {Attribute} Ieee_Numeric_Std_Match_Gt_Uns_Uns  =  335
38704 @end deffn
38706 @geindex Ieee_Numeric_Std_Match_Gt_Uns_Nat (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
38707 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Match_Gt_Uns_Nat}@anchor{1425}
38708 @deffn {Attribute} Ieee_Numeric_Std_Match_Gt_Uns_Nat  =  336
38709 @end deffn
38711 @geindex Ieee_Numeric_Std_Match_Gt_Nat_Uns (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
38712 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Match_Gt_Nat_Uns}@anchor{1426}
38713 @deffn {Attribute} Ieee_Numeric_Std_Match_Gt_Nat_Uns  =  337
38714 @end deffn
38716 @geindex Ieee_Numeric_Std_Match_Gt_Sgn_Sgn (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
38717 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Match_Gt_Sgn_Sgn}@anchor{1427}
38718 @deffn {Attribute} Ieee_Numeric_Std_Match_Gt_Sgn_Sgn  =  338
38719 @end deffn
38721 @geindex Ieee_Numeric_Std_Match_Gt_Sgn_Int (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
38722 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Match_Gt_Sgn_Int}@anchor{1428}
38723 @deffn {Attribute} Ieee_Numeric_Std_Match_Gt_Sgn_Int  =  339
38724 @end deffn
38726 @geindex Ieee_Numeric_Std_Match_Gt_Int_Sgn (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
38727 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Match_Gt_Int_Sgn}@anchor{1429}
38728 @deffn {Attribute} Ieee_Numeric_Std_Match_Gt_Int_Sgn  =  340
38729 @end deffn
38731 @geindex Ieee_Numeric_Std_Match_Lt_Uns_Uns (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
38732 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Match_Lt_Uns_Uns}@anchor{142a}
38733 @deffn {Attribute} Ieee_Numeric_Std_Match_Lt_Uns_Uns  =  341
38734 @end deffn
38736 @geindex Ieee_Numeric_Std_Match_Lt_Uns_Nat (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
38737 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Match_Lt_Uns_Nat}@anchor{142b}
38738 @deffn {Attribute} Ieee_Numeric_Std_Match_Lt_Uns_Nat  =  342
38739 @end deffn
38741 @geindex Ieee_Numeric_Std_Match_Lt_Nat_Uns (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
38742 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Match_Lt_Nat_Uns}@anchor{142c}
38743 @deffn {Attribute} Ieee_Numeric_Std_Match_Lt_Nat_Uns  =  343
38744 @end deffn
38746 @geindex Ieee_Numeric_Std_Match_Lt_Sgn_Sgn (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
38747 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Match_Lt_Sgn_Sgn}@anchor{142d}
38748 @deffn {Attribute} Ieee_Numeric_Std_Match_Lt_Sgn_Sgn  =  344
38749 @end deffn
38751 @geindex Ieee_Numeric_Std_Match_Lt_Sgn_Int (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
38752 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Match_Lt_Sgn_Int}@anchor{142e}
38753 @deffn {Attribute} Ieee_Numeric_Std_Match_Lt_Sgn_Int  =  345
38754 @end deffn
38756 @geindex Ieee_Numeric_Std_Match_Lt_Int_Sgn (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
38757 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Match_Lt_Int_Sgn}@anchor{142f}
38758 @deffn {Attribute} Ieee_Numeric_Std_Match_Lt_Int_Sgn  =  346
38759 @end deffn
38761 @geindex Ieee_Numeric_Std_Match_Le_Uns_Uns (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
38762 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Match_Le_Uns_Uns}@anchor{1430}
38763 @deffn {Attribute} Ieee_Numeric_Std_Match_Le_Uns_Uns  =  347
38764 @end deffn
38766 @geindex Ieee_Numeric_Std_Match_Le_Uns_Nat (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
38767 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Match_Le_Uns_Nat}@anchor{1431}
38768 @deffn {Attribute} Ieee_Numeric_Std_Match_Le_Uns_Nat  =  348
38769 @end deffn
38771 @geindex Ieee_Numeric_Std_Match_Le_Nat_Uns (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
38772 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Match_Le_Nat_Uns}@anchor{1432}
38773 @deffn {Attribute} Ieee_Numeric_Std_Match_Le_Nat_Uns  =  349
38774 @end deffn
38776 @geindex Ieee_Numeric_Std_Match_Le_Sgn_Sgn (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
38777 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Match_Le_Sgn_Sgn}@anchor{1433}
38778 @deffn {Attribute} Ieee_Numeric_Std_Match_Le_Sgn_Sgn  =  350
38779 @end deffn
38781 @geindex Ieee_Numeric_Std_Match_Le_Sgn_Int (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
38782 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Match_Le_Sgn_Int}@anchor{1434}
38783 @deffn {Attribute} Ieee_Numeric_Std_Match_Le_Sgn_Int  =  351
38784 @end deffn
38786 @geindex Ieee_Numeric_Std_Match_Le_Int_Sgn (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
38787 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Match_Le_Int_Sgn}@anchor{1435}
38788 @deffn {Attribute} Ieee_Numeric_Std_Match_Le_Int_Sgn  =  352
38789 @end deffn
38791 @geindex Ieee_Numeric_Std_Match_Ge_Uns_Uns (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
38792 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Match_Ge_Uns_Uns}@anchor{1436}
38793 @deffn {Attribute} Ieee_Numeric_Std_Match_Ge_Uns_Uns  =  353
38794 @end deffn
38796 @geindex Ieee_Numeric_Std_Match_Ge_Uns_Nat (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
38797 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Match_Ge_Uns_Nat}@anchor{1437}
38798 @deffn {Attribute} Ieee_Numeric_Std_Match_Ge_Uns_Nat  =  354
38799 @end deffn
38801 @geindex Ieee_Numeric_Std_Match_Ge_Nat_Uns (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
38802 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Match_Ge_Nat_Uns}@anchor{1438}
38803 @deffn {Attribute} Ieee_Numeric_Std_Match_Ge_Nat_Uns  =  355
38804 @end deffn
38806 @geindex Ieee_Numeric_Std_Match_Ge_Sgn_Sgn (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
38807 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Match_Ge_Sgn_Sgn}@anchor{1439}
38808 @deffn {Attribute} Ieee_Numeric_Std_Match_Ge_Sgn_Sgn  =  356
38809 @end deffn
38811 @geindex Ieee_Numeric_Std_Match_Ge_Sgn_Int (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
38812 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Match_Ge_Sgn_Int}@anchor{143a}
38813 @deffn {Attribute} Ieee_Numeric_Std_Match_Ge_Sgn_Int  =  357
38814 @end deffn
38816 @geindex Ieee_Numeric_Std_Match_Ge_Int_Sgn (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
38817 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Match_Ge_Int_Sgn}@anchor{143b}
38818 @deffn {Attribute} Ieee_Numeric_Std_Match_Ge_Int_Sgn  =  358
38819 @end deffn
38821 @geindex Ieee_Numeric_Std_Match_Eq_Uns_Uns (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
38822 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Match_Eq_Uns_Uns}@anchor{143c}
38823 @deffn {Attribute} Ieee_Numeric_Std_Match_Eq_Uns_Uns  =  359
38824 @end deffn
38826 @geindex Ieee_Numeric_Std_Match_Eq_Uns_Nat (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
38827 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Match_Eq_Uns_Nat}@anchor{143d}
38828 @deffn {Attribute} Ieee_Numeric_Std_Match_Eq_Uns_Nat  =  360
38829 @end deffn
38831 @geindex Ieee_Numeric_Std_Match_Eq_Nat_Uns (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
38832 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Match_Eq_Nat_Uns}@anchor{143e}
38833 @deffn {Attribute} Ieee_Numeric_Std_Match_Eq_Nat_Uns  =  361
38834 @end deffn
38836 @geindex Ieee_Numeric_Std_Match_Eq_Sgn_Sgn (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
38837 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Match_Eq_Sgn_Sgn}@anchor{143f}
38838 @deffn {Attribute} Ieee_Numeric_Std_Match_Eq_Sgn_Sgn  =  362
38839 @end deffn
38841 @geindex Ieee_Numeric_Std_Match_Eq_Sgn_Int (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
38842 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Match_Eq_Sgn_Int}@anchor{1440}
38843 @deffn {Attribute} Ieee_Numeric_Std_Match_Eq_Sgn_Int  =  363
38844 @end deffn
38846 @geindex Ieee_Numeric_Std_Match_Eq_Int_Sgn (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
38847 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Match_Eq_Int_Sgn}@anchor{1441}
38848 @deffn {Attribute} Ieee_Numeric_Std_Match_Eq_Int_Sgn  =  364
38849 @end deffn
38851 @geindex Ieee_Numeric_Std_Match_Ne_Uns_Uns (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
38852 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Match_Ne_Uns_Uns}@anchor{1442}
38853 @deffn {Attribute} Ieee_Numeric_Std_Match_Ne_Uns_Uns  =  365
38854 @end deffn
38856 @geindex Ieee_Numeric_Std_Match_Ne_Uns_Nat (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
38857 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Match_Ne_Uns_Nat}@anchor{1443}
38858 @deffn {Attribute} Ieee_Numeric_Std_Match_Ne_Uns_Nat  =  366
38859 @end deffn
38861 @geindex Ieee_Numeric_Std_Match_Ne_Nat_Uns (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
38862 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Match_Ne_Nat_Uns}@anchor{1444}
38863 @deffn {Attribute} Ieee_Numeric_Std_Match_Ne_Nat_Uns  =  367
38864 @end deffn
38866 @geindex Ieee_Numeric_Std_Match_Ne_Sgn_Sgn (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
38867 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Match_Ne_Sgn_Sgn}@anchor{1445}
38868 @deffn {Attribute} Ieee_Numeric_Std_Match_Ne_Sgn_Sgn  =  368
38869 @end deffn
38871 @geindex Ieee_Numeric_Std_Match_Ne_Sgn_Int (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
38872 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Match_Ne_Sgn_Int}@anchor{1446}
38873 @deffn {Attribute} Ieee_Numeric_Std_Match_Ne_Sgn_Int  =  369
38874 @end deffn
38876 @geindex Ieee_Numeric_Std_Match_Ne_Int_Sgn (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
38877 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Match_Ne_Int_Sgn}@anchor{1447}
38878 @deffn {Attribute} Ieee_Numeric_Std_Match_Ne_Int_Sgn  =  370
38879 @end deffn
38881 @geindex Ieee_Numeric_Std_Sll_Uns_Int (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
38882 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Sll_Uns_Int}@anchor{1448}
38883 @deffn {Attribute} Ieee_Numeric_Std_Sll_Uns_Int  =  371
38884 @end deffn
38886 @geindex Ieee_Numeric_Std_Sll_Sgn_Int (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
38887 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Sll_Sgn_Int}@anchor{1449}
38888 @deffn {Attribute} Ieee_Numeric_Std_Sll_Sgn_Int  =  372
38889 @end deffn
38891 @geindex Ieee_Numeric_Std_Srl_Uns_Int (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
38892 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Srl_Uns_Int}@anchor{144a}
38893 @deffn {Attribute} Ieee_Numeric_Std_Srl_Uns_Int  =  373
38894 @end deffn
38896 @geindex Ieee_Numeric_Std_Srl_Sgn_Int (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
38897 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Srl_Sgn_Int}@anchor{144b}
38898 @deffn {Attribute} Ieee_Numeric_Std_Srl_Sgn_Int  =  374
38899 @end deffn
38901 @geindex Ieee_Numeric_Std_Sla_Uns_Int (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
38902 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Sla_Uns_Int}@anchor{144c}
38903 @deffn {Attribute} Ieee_Numeric_Std_Sla_Uns_Int  =  375
38904 @end deffn
38906 @geindex Ieee_Numeric_Std_Sla_Sgn_Int (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
38907 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Sla_Sgn_Int}@anchor{144d}
38908 @deffn {Attribute} Ieee_Numeric_Std_Sla_Sgn_Int  =  376
38909 @end deffn
38911 @geindex Ieee_Numeric_Std_Sra_Uns_Int (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
38912 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Sra_Uns_Int}@anchor{144e}
38913 @deffn {Attribute} Ieee_Numeric_Std_Sra_Uns_Int  =  377
38914 @end deffn
38916 @geindex Ieee_Numeric_Std_Sra_Sgn_Int (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
38917 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Sra_Sgn_Int}@anchor{144f}
38918 @deffn {Attribute} Ieee_Numeric_Std_Sra_Sgn_Int  =  378
38919 @end deffn
38921 @geindex Ieee_Numeric_Std_Rol_Uns_Int (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
38922 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Rol_Uns_Int}@anchor{1450}
38923 @deffn {Attribute} Ieee_Numeric_Std_Rol_Uns_Int  =  379
38924 @end deffn
38926 @geindex Ieee_Numeric_Std_Rol_Sgn_Int (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
38927 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Rol_Sgn_Int}@anchor{1451}
38928 @deffn {Attribute} Ieee_Numeric_Std_Rol_Sgn_Int  =  380
38929 @end deffn
38931 @geindex Ieee_Numeric_Std_Ror_Uns_Int (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
38932 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Ror_Uns_Int}@anchor{1452}
38933 @deffn {Attribute} Ieee_Numeric_Std_Ror_Uns_Int  =  381
38934 @end deffn
38936 @geindex Ieee_Numeric_Std_Ror_Sgn_Int (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
38937 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Ror_Sgn_Int}@anchor{1453}
38938 @deffn {Attribute} Ieee_Numeric_Std_Ror_Sgn_Int  =  382
38939 @end deffn
38941 @geindex Ieee_Numeric_Std_And_Uns_Uns (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
38942 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_And_Uns_Uns}@anchor{1454}
38943 @deffn {Attribute} Ieee_Numeric_Std_And_Uns_Uns  =  383
38944 @end deffn
38946 @geindex Ieee_Numeric_Std_And_Sgn_Sgn (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
38947 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_And_Sgn_Sgn}@anchor{1455}
38948 @deffn {Attribute} Ieee_Numeric_Std_And_Sgn_Sgn  =  384
38949 @end deffn
38951 @geindex Ieee_Numeric_Std_Or_Uns_Uns (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
38952 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Or_Uns_Uns}@anchor{1456}
38953 @deffn {Attribute} Ieee_Numeric_Std_Or_Uns_Uns  =  385
38954 @end deffn
38956 @geindex Ieee_Numeric_Std_Or_Sgn_Sgn (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
38957 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Or_Sgn_Sgn}@anchor{1457}
38958 @deffn {Attribute} Ieee_Numeric_Std_Or_Sgn_Sgn  =  386
38959 @end deffn
38961 @geindex Ieee_Numeric_Std_Nand_Uns_Uns (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
38962 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Nand_Uns_Uns}@anchor{1458}
38963 @deffn {Attribute} Ieee_Numeric_Std_Nand_Uns_Uns  =  387
38964 @end deffn
38966 @geindex Ieee_Numeric_Std_Nand_Sgn_Sgn (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
38967 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Nand_Sgn_Sgn}@anchor{1459}
38968 @deffn {Attribute} Ieee_Numeric_Std_Nand_Sgn_Sgn  =  388
38969 @end deffn
38971 @geindex Ieee_Numeric_Std_Nor_Uns_Uns (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
38972 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Nor_Uns_Uns}@anchor{145a}
38973 @deffn {Attribute} Ieee_Numeric_Std_Nor_Uns_Uns  =  389
38974 @end deffn
38976 @geindex Ieee_Numeric_Std_Nor_Sgn_Sgn (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
38977 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Nor_Sgn_Sgn}@anchor{145b}
38978 @deffn {Attribute} Ieee_Numeric_Std_Nor_Sgn_Sgn  =  390
38979 @end deffn
38981 @geindex Ieee_Numeric_Std_Xor_Uns_Uns (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
38982 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Xor_Uns_Uns}@anchor{145c}
38983 @deffn {Attribute} Ieee_Numeric_Std_Xor_Uns_Uns  =  391
38984 @end deffn
38986 @geindex Ieee_Numeric_Std_Xor_Sgn_Sgn (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
38987 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Xor_Sgn_Sgn}@anchor{145d}
38988 @deffn {Attribute} Ieee_Numeric_Std_Xor_Sgn_Sgn  =  392
38989 @end deffn
38991 @geindex Ieee_Numeric_Std_Xnor_Uns_Uns (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
38992 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Xnor_Uns_Uns}@anchor{145e}
38993 @deffn {Attribute} Ieee_Numeric_Std_Xnor_Uns_Uns  =  393
38994 @end deffn
38996 @geindex Ieee_Numeric_Std_Xnor_Sgn_Sgn (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
38997 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Xnor_Sgn_Sgn}@anchor{145f}
38998 @deffn {Attribute} Ieee_Numeric_Std_Xnor_Sgn_Sgn  =  394
38999 @end deffn
39001 @geindex Ieee_Numeric_Std_Not_Uns (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
39002 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Not_Uns}@anchor{1460}
39003 @deffn {Attribute} Ieee_Numeric_Std_Not_Uns  =  395
39004 @end deffn
39006 @geindex Ieee_Numeric_Std_Not_Sgn (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
39007 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Not_Sgn}@anchor{1461}
39008 @deffn {Attribute} Ieee_Numeric_Std_Not_Sgn  =  396
39009 @end deffn
39011 @geindex Ieee_Numeric_Std_Abs_Sgn (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
39012 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Abs_Sgn}@anchor{1462}
39013 @deffn {Attribute} Ieee_Numeric_Std_Abs_Sgn  =  397
39014 @end deffn
39016 @geindex Ieee_Numeric_Std_Neg_Uns (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
39017 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Neg_Uns}@anchor{1463}
39018 @deffn {Attribute} Ieee_Numeric_Std_Neg_Uns  =  398
39019 @end deffn
39021 @geindex Ieee_Numeric_Std_Neg_Sgn (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
39022 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Neg_Sgn}@anchor{1464}
39023 @deffn {Attribute} Ieee_Numeric_Std_Neg_Sgn  =  399
39024 @end deffn
39026 @geindex Ieee_Numeric_Std_Min_Uns_Uns (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
39027 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Min_Uns_Uns}@anchor{1465}
39028 @deffn {Attribute} Ieee_Numeric_Std_Min_Uns_Uns  =  400
39029 @end deffn
39031 @geindex Ieee_Numeric_Std_Min_Uns_Nat (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
39032 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Min_Uns_Nat}@anchor{1466}
39033 @deffn {Attribute} Ieee_Numeric_Std_Min_Uns_Nat  =  401
39034 @end deffn
39036 @geindex Ieee_Numeric_Std_Min_Nat_Uns (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
39037 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Min_Nat_Uns}@anchor{1467}
39038 @deffn {Attribute} Ieee_Numeric_Std_Min_Nat_Uns  =  402
39039 @end deffn
39041 @geindex Ieee_Numeric_Std_Min_Sgn_Sgn (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
39042 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Min_Sgn_Sgn}@anchor{1468}
39043 @deffn {Attribute} Ieee_Numeric_Std_Min_Sgn_Sgn  =  403
39044 @end deffn
39046 @geindex Ieee_Numeric_Std_Min_Sgn_Int (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
39047 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Min_Sgn_Int}@anchor{1469}
39048 @deffn {Attribute} Ieee_Numeric_Std_Min_Sgn_Int  =  404
39049 @end deffn
39051 @geindex Ieee_Numeric_Std_Min_Int_Sgn (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
39052 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Min_Int_Sgn}@anchor{146a}
39053 @deffn {Attribute} Ieee_Numeric_Std_Min_Int_Sgn  =  405
39054 @end deffn
39056 @geindex Ieee_Numeric_Std_Max_Uns_Uns (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
39057 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Max_Uns_Uns}@anchor{146b}
39058 @deffn {Attribute} Ieee_Numeric_Std_Max_Uns_Uns  =  406
39059 @end deffn
39061 @geindex Ieee_Numeric_Std_Max_Uns_Nat (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
39062 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Max_Uns_Nat}@anchor{146c}
39063 @deffn {Attribute} Ieee_Numeric_Std_Max_Uns_Nat  =  407
39064 @end deffn
39066 @geindex Ieee_Numeric_Std_Max_Nat_Uns (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
39067 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Max_Nat_Uns}@anchor{146d}
39068 @deffn {Attribute} Ieee_Numeric_Std_Max_Nat_Uns  =  408
39069 @end deffn
39071 @geindex Ieee_Numeric_Std_Max_Sgn_Sgn (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
39072 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Max_Sgn_Sgn}@anchor{146e}
39073 @deffn {Attribute} Ieee_Numeric_Std_Max_Sgn_Sgn  =  409
39074 @end deffn
39076 @geindex Ieee_Numeric_Std_Max_Sgn_Int (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
39077 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Max_Sgn_Int}@anchor{146f}
39078 @deffn {Attribute} Ieee_Numeric_Std_Max_Sgn_Int  =  410
39079 @end deffn
39081 @geindex Ieee_Numeric_Std_Max_Int_Sgn (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
39082 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Max_Int_Sgn}@anchor{1470}
39083 @deffn {Attribute} Ieee_Numeric_Std_Max_Int_Sgn  =  411
39084 @end deffn
39086 @geindex Ieee_Numeric_Std_Shf_Left_Uns_Nat (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
39087 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Shf_Left_Uns_Nat}@anchor{1471}
39088 @deffn {Attribute} Ieee_Numeric_Std_Shf_Left_Uns_Nat  =  412
39089 @end deffn
39091 @geindex Ieee_Numeric_Std_Shf_Right_Uns_Nat (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
39092 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Shf_Right_Uns_Nat}@anchor{1472}
39093 @deffn {Attribute} Ieee_Numeric_Std_Shf_Right_Uns_Nat  =  413
39094 @end deffn
39096 @geindex Ieee_Numeric_Std_Shf_Left_Sgn_Nat (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
39097 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Shf_Left_Sgn_Nat}@anchor{1473}
39098 @deffn {Attribute} Ieee_Numeric_Std_Shf_Left_Sgn_Nat  =  414
39099 @end deffn
39101 @geindex Ieee_Numeric_Std_Shf_Right_Sgn_Nat (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
39102 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Shf_Right_Sgn_Nat}@anchor{1474}
39103 @deffn {Attribute} Ieee_Numeric_Std_Shf_Right_Sgn_Nat  =  415
39104 @end deffn
39106 @geindex Ieee_Numeric_Std_Rot_Left_Uns_Nat (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
39107 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Rot_Left_Uns_Nat}@anchor{1475}
39108 @deffn {Attribute} Ieee_Numeric_Std_Rot_Left_Uns_Nat  =  416
39109 @end deffn
39111 @geindex Ieee_Numeric_Std_Rot_Right_Uns_Nat (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
39112 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Rot_Right_Uns_Nat}@anchor{1476}
39113 @deffn {Attribute} Ieee_Numeric_Std_Rot_Right_Uns_Nat  =  417
39114 @end deffn
39116 @geindex Ieee_Numeric_Std_Rot_Left_Sgn_Nat (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
39117 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Rot_Left_Sgn_Nat}@anchor{1477}
39118 @deffn {Attribute} Ieee_Numeric_Std_Rot_Left_Sgn_Nat  =  418
39119 @end deffn
39121 @geindex Ieee_Numeric_Std_Rot_Right_Sgn_Nat (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
39122 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Rot_Right_Sgn_Nat}@anchor{1478}
39123 @deffn {Attribute} Ieee_Numeric_Std_Rot_Right_Sgn_Nat  =  419
39124 @end deffn
39126 @geindex Ieee_Numeric_Std_And_Sgn (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
39127 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_And_Sgn}@anchor{1479}
39128 @deffn {Attribute} Ieee_Numeric_Std_And_Sgn  =  420
39129 @end deffn
39131 @geindex Ieee_Numeric_Std_Nand_Sgn (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
39132 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Nand_Sgn}@anchor{147a}
39133 @deffn {Attribute} Ieee_Numeric_Std_Nand_Sgn  =  421
39134 @end deffn
39136 @geindex Ieee_Numeric_Std_Or_Sgn (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
39137 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Or_Sgn}@anchor{147b}
39138 @deffn {Attribute} Ieee_Numeric_Std_Or_Sgn  =  422
39139 @end deffn
39141 @geindex Ieee_Numeric_Std_Nor_Sgn (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
39142 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Nor_Sgn}@anchor{147c}
39143 @deffn {Attribute} Ieee_Numeric_Std_Nor_Sgn  =  423
39144 @end deffn
39146 @geindex Ieee_Numeric_Std_Xor_Sgn (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
39147 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Xor_Sgn}@anchor{147d}
39148 @deffn {Attribute} Ieee_Numeric_Std_Xor_Sgn  =  424
39149 @end deffn
39151 @geindex Ieee_Numeric_Std_Xnor_Sgn (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
39152 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Xnor_Sgn}@anchor{147e}
39153 @deffn {Attribute} Ieee_Numeric_Std_Xnor_Sgn  =  425
39154 @end deffn
39156 @geindex Ieee_Numeric_Std_And_Uns (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
39157 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_And_Uns}@anchor{147f}
39158 @deffn {Attribute} Ieee_Numeric_Std_And_Uns  =  426
39159 @end deffn
39161 @geindex Ieee_Numeric_Std_Nand_Uns (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
39162 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Nand_Uns}@anchor{1480}
39163 @deffn {Attribute} Ieee_Numeric_Std_Nand_Uns  =  427
39164 @end deffn
39166 @geindex Ieee_Numeric_Std_Or_Uns (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
39167 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Or_Uns}@anchor{1481}
39168 @deffn {Attribute} Ieee_Numeric_Std_Or_Uns  =  428
39169 @end deffn
39171 @geindex Ieee_Numeric_Std_Nor_Uns (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
39172 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Nor_Uns}@anchor{1482}
39173 @deffn {Attribute} Ieee_Numeric_Std_Nor_Uns  =  429
39174 @end deffn
39176 @geindex Ieee_Numeric_Std_Xor_Uns (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
39177 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Xor_Uns}@anchor{1483}
39178 @deffn {Attribute} Ieee_Numeric_Std_Xor_Uns  =  430
39179 @end deffn
39181 @geindex Ieee_Numeric_Std_Xnor_Uns (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
39182 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Xnor_Uns}@anchor{1484}
39183 @deffn {Attribute} Ieee_Numeric_Std_Xnor_Uns  =  431
39184 @end deffn
39186 @geindex Ieee_Numeric_Std_Find_Leftmost_Uns (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
39187 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Find_Leftmost_Uns}@anchor{1485}
39188 @deffn {Attribute} Ieee_Numeric_Std_Find_Leftmost_Uns  =  432
39189 @end deffn
39191 @geindex Ieee_Numeric_Std_Find_Rightmost_Uns (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
39192 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Find_Rightmost_Uns}@anchor{1486}
39193 @deffn {Attribute} Ieee_Numeric_Std_Find_Rightmost_Uns  =  433
39194 @end deffn
39196 @geindex Ieee_Numeric_Std_Find_Leftmost_Sgn (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
39197 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Find_Leftmost_Sgn}@anchor{1487}
39198 @deffn {Attribute} Ieee_Numeric_Std_Find_Leftmost_Sgn  =  434
39199 @end deffn
39201 @geindex Ieee_Numeric_Std_Find_Rightmost_Sgn (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
39202 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Find_Rightmost_Sgn}@anchor{1488}
39203 @deffn {Attribute} Ieee_Numeric_Std_Find_Rightmost_Sgn  =  435
39204 @end deffn
39206 @geindex Ieee_Numeric_Std_Match_Log (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
39207 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Match_Log}@anchor{1489}
39208 @deffn {Attribute} Ieee_Numeric_Std_Match_Log  =  436
39209 @end deffn
39211 @geindex Ieee_Numeric_Std_Match_Uns (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
39212 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Match_Uns}@anchor{148a}
39213 @deffn {Attribute} Ieee_Numeric_Std_Match_Uns  =  437
39214 @end deffn
39216 @geindex Ieee_Numeric_Std_Match_Sgn (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
39217 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Match_Sgn}@anchor{148b}
39218 @deffn {Attribute} Ieee_Numeric_Std_Match_Sgn  =  438
39219 @end deffn
39221 @geindex Ieee_Numeric_Std_Match_Slv (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
39222 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Match_Slv}@anchor{148c}
39223 @deffn {Attribute} Ieee_Numeric_Std_Match_Slv  =  439
39224 @end deffn
39226 @geindex Ieee_Numeric_Std_Match_Suv (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
39227 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Match_Suv}@anchor{148d}
39228 @deffn {Attribute} Ieee_Numeric_Std_Match_Suv  =  440
39229 @end deffn
39231 @geindex Ieee_Numeric_Std_To_01_Uns (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
39232 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_To_01_Uns}@anchor{148e}
39233 @deffn {Attribute} Ieee_Numeric_Std_To_01_Uns  =  441
39234 @end deffn
39236 @geindex Ieee_Numeric_Std_To_01_Sgn (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
39237 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_To_01_Sgn}@anchor{148f}
39238 @deffn {Attribute} Ieee_Numeric_Std_To_01_Sgn  =  442
39239 @end deffn
39241 @geindex Ieee_Numeric_Std_Unsigned_To_Integer_Slv_Nat (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
39242 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Unsigned_To_Integer_Slv_Nat}@anchor{1490}
39243 @deffn {Attribute} Ieee_Numeric_Std_Unsigned_To_Integer_Slv_Nat  =  443
39244 @end deffn
39246 @geindex Ieee_Numeric_Std_Unsigned_To_Slv_Nat_Nat_Slv (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
39247 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Unsigned_To_Slv_Nat_Nat_Slv}@anchor{1491}
39248 @deffn {Attribute} Ieee_Numeric_Std_Unsigned_To_Slv_Nat_Nat_Slv  =  444
39249 @end deffn
39251 @geindex Ieee_Math_Real_Ceil (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
39252 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Math_Real_Ceil}@anchor{1492}
39253 @deffn {Attribute} Ieee_Math_Real_Ceil  =  445
39254 @end deffn
39256 @geindex Ieee_Math_Real_Floor (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
39257 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Math_Real_Floor}@anchor{1493}
39258 @deffn {Attribute} Ieee_Math_Real_Floor  =  446
39259 @end deffn
39261 @geindex Ieee_Math_Real_Round (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
39262 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Math_Real_Round}@anchor{1494}
39263 @deffn {Attribute} Ieee_Math_Real_Round  =  447
39264 @end deffn
39266 @geindex Ieee_Math_Real_Log2 (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
39267 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Math_Real_Log2}@anchor{1495}
39268 @deffn {Attribute} Ieee_Math_Real_Log2  =  448
39269 @end deffn
39271 @geindex Ieee_Math_Real_Sin (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
39272 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Math_Real_Sin}@anchor{1496}
39273 @deffn {Attribute} Ieee_Math_Real_Sin  =  449
39274 @end deffn
39276 @geindex Ieee_Math_Real_Cos (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
39277 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Math_Real_Cos}@anchor{1497}
39278 @deffn {Attribute} Ieee_Math_Real_Cos  =  450
39279 @end deffn
39281 @geindex Ieee_Math_Real_Arctan (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
39282 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Math_Real_Arctan}@anchor{1498}
39283 @deffn {Attribute} Ieee_Math_Real_Arctan  =  451
39284 @end deffn
39286 @geindex Ieee_Math_Real_Pow (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
39287 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Math_Real_Pow}@anchor{1499}
39288 @deffn {Attribute} Ieee_Math_Real_Pow  =  452
39289 @end deffn
39291 @geindex Ieee_Std_Logic_Unsigned_Add_Slv_Slv (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
39292 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Unsigned_Add_Slv_Slv}@anchor{149a}
39293 @deffn {Attribute} Ieee_Std_Logic_Unsigned_Add_Slv_Slv  =  453
39294 @end deffn
39296 @geindex Ieee_Std_Logic_Unsigned_Add_Slv_Int (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
39297 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Unsigned_Add_Slv_Int}@anchor{149b}
39298 @deffn {Attribute} Ieee_Std_Logic_Unsigned_Add_Slv_Int  =  454
39299 @end deffn
39301 @geindex Ieee_Std_Logic_Unsigned_Add_Int_Slv (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
39302 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Unsigned_Add_Int_Slv}@anchor{149c}
39303 @deffn {Attribute} Ieee_Std_Logic_Unsigned_Add_Int_Slv  =  455
39304 @end deffn
39306 @geindex Ieee_Std_Logic_Unsigned_Add_Slv_Log (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
39307 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Unsigned_Add_Slv_Log}@anchor{149d}
39308 @deffn {Attribute} Ieee_Std_Logic_Unsigned_Add_Slv_Log  =  456
39309 @end deffn
39311 @geindex Ieee_Std_Logic_Unsigned_Add_Log_Slv (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
39312 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Unsigned_Add_Log_Slv}@anchor{149e}
39313 @deffn {Attribute} Ieee_Std_Logic_Unsigned_Add_Log_Slv  =  457
39314 @end deffn
39316 @geindex Ieee_Std_Logic_Unsigned_Sub_Slv_Slv (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
39317 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Unsigned_Sub_Slv_Slv}@anchor{149f}
39318 @deffn {Attribute} Ieee_Std_Logic_Unsigned_Sub_Slv_Slv  =  458
39319 @end deffn
39321 @geindex Ieee_Std_Logic_Unsigned_Sub_Slv_Int (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
39322 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Unsigned_Sub_Slv_Int}@anchor{14a0}
39323 @deffn {Attribute} Ieee_Std_Logic_Unsigned_Sub_Slv_Int  =  459
39324 @end deffn
39326 @geindex Ieee_Std_Logic_Unsigned_Sub_Int_Slv (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
39327 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Unsigned_Sub_Int_Slv}@anchor{14a1}
39328 @deffn {Attribute} Ieee_Std_Logic_Unsigned_Sub_Int_Slv  =  460
39329 @end deffn
39331 @geindex Ieee_Std_Logic_Unsigned_Sub_Slv_Log (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
39332 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Unsigned_Sub_Slv_Log}@anchor{14a2}
39333 @deffn {Attribute} Ieee_Std_Logic_Unsigned_Sub_Slv_Log  =  461
39334 @end deffn
39336 @geindex Ieee_Std_Logic_Unsigned_Sub_Log_Slv (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
39337 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Unsigned_Sub_Log_Slv}@anchor{14a3}
39338 @deffn {Attribute} Ieee_Std_Logic_Unsigned_Sub_Log_Slv  =  462
39339 @end deffn
39341 @geindex Ieee_Std_Logic_Unsigned_Id_Slv (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
39342 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Unsigned_Id_Slv}@anchor{14a4}
39343 @deffn {Attribute} Ieee_Std_Logic_Unsigned_Id_Slv  =  463
39344 @end deffn
39346 @geindex Ieee_Std_Logic_Unsigned_Mul_Slv_Slv (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
39347 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Unsigned_Mul_Slv_Slv}@anchor{14a5}
39348 @deffn {Attribute} Ieee_Std_Logic_Unsigned_Mul_Slv_Slv  =  464
39349 @end deffn
39351 @geindex Ieee_Std_Logic_Unsigned_Lt_Slv_Slv (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
39352 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Unsigned_Lt_Slv_Slv}@anchor{14a6}
39353 @deffn {Attribute} Ieee_Std_Logic_Unsigned_Lt_Slv_Slv  =  465
39354 @end deffn
39356 @geindex Ieee_Std_Logic_Unsigned_Lt_Slv_Int (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
39357 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Unsigned_Lt_Slv_Int}@anchor{14a7}
39358 @deffn {Attribute} Ieee_Std_Logic_Unsigned_Lt_Slv_Int  =  466
39359 @end deffn
39361 @geindex Ieee_Std_Logic_Unsigned_Lt_Int_Slv (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
39362 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Unsigned_Lt_Int_Slv}@anchor{14a8}
39363 @deffn {Attribute} Ieee_Std_Logic_Unsigned_Lt_Int_Slv  =  467
39364 @end deffn
39366 @geindex Ieee_Std_Logic_Unsigned_Le_Slv_Slv (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
39367 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Unsigned_Le_Slv_Slv}@anchor{14a9}
39368 @deffn {Attribute} Ieee_Std_Logic_Unsigned_Le_Slv_Slv  =  468
39369 @end deffn
39371 @geindex Ieee_Std_Logic_Unsigned_Le_Slv_Int (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
39372 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Unsigned_Le_Slv_Int}@anchor{14aa}
39373 @deffn {Attribute} Ieee_Std_Logic_Unsigned_Le_Slv_Int  =  469
39374 @end deffn
39376 @geindex Ieee_Std_Logic_Unsigned_Le_Int_Slv (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
39377 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Unsigned_Le_Int_Slv}@anchor{14ab}
39378 @deffn {Attribute} Ieee_Std_Logic_Unsigned_Le_Int_Slv  =  470
39379 @end deffn
39381 @geindex Ieee_Std_Logic_Unsigned_Gt_Slv_Slv (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
39382 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Unsigned_Gt_Slv_Slv}@anchor{14ac}
39383 @deffn {Attribute} Ieee_Std_Logic_Unsigned_Gt_Slv_Slv  =  471
39384 @end deffn
39386 @geindex Ieee_Std_Logic_Unsigned_Gt_Slv_Int (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
39387 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Unsigned_Gt_Slv_Int}@anchor{14ad}
39388 @deffn {Attribute} Ieee_Std_Logic_Unsigned_Gt_Slv_Int  =  472
39389 @end deffn
39391 @geindex Ieee_Std_Logic_Unsigned_Gt_Int_Slv (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
39392 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Unsigned_Gt_Int_Slv}@anchor{14ae}
39393 @deffn {Attribute} Ieee_Std_Logic_Unsigned_Gt_Int_Slv  =  473
39394 @end deffn
39396 @geindex Ieee_Std_Logic_Unsigned_Ge_Slv_Slv (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
39397 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Unsigned_Ge_Slv_Slv}@anchor{14af}
39398 @deffn {Attribute} Ieee_Std_Logic_Unsigned_Ge_Slv_Slv  =  474
39399 @end deffn
39401 @geindex Ieee_Std_Logic_Unsigned_Ge_Slv_Int (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
39402 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Unsigned_Ge_Slv_Int}@anchor{14b0}
39403 @deffn {Attribute} Ieee_Std_Logic_Unsigned_Ge_Slv_Int  =  475
39404 @end deffn
39406 @geindex Ieee_Std_Logic_Unsigned_Ge_Int_Slv (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
39407 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Unsigned_Ge_Int_Slv}@anchor{14b1}
39408 @deffn {Attribute} Ieee_Std_Logic_Unsigned_Ge_Int_Slv  =  476
39409 @end deffn
39411 @geindex Ieee_Std_Logic_Unsigned_Eq_Slv_Slv (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
39412 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Unsigned_Eq_Slv_Slv}@anchor{14b2}
39413 @deffn {Attribute} Ieee_Std_Logic_Unsigned_Eq_Slv_Slv  =  477
39414 @end deffn
39416 @geindex Ieee_Std_Logic_Unsigned_Eq_Slv_Int (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
39417 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Unsigned_Eq_Slv_Int}@anchor{14b3}
39418 @deffn {Attribute} Ieee_Std_Logic_Unsigned_Eq_Slv_Int  =  478
39419 @end deffn
39421 @geindex Ieee_Std_Logic_Unsigned_Eq_Int_Slv (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
39422 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Unsigned_Eq_Int_Slv}@anchor{14b4}
39423 @deffn {Attribute} Ieee_Std_Logic_Unsigned_Eq_Int_Slv  =  479
39424 @end deffn
39426 @geindex Ieee_Std_Logic_Unsigned_Ne_Slv_Slv (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
39427 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Unsigned_Ne_Slv_Slv}@anchor{14b5}
39428 @deffn {Attribute} Ieee_Std_Logic_Unsigned_Ne_Slv_Slv  =  480
39429 @end deffn
39431 @geindex Ieee_Std_Logic_Unsigned_Ne_Slv_Int (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
39432 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Unsigned_Ne_Slv_Int}@anchor{14b6}
39433 @deffn {Attribute} Ieee_Std_Logic_Unsigned_Ne_Slv_Int  =  481
39434 @end deffn
39436 @geindex Ieee_Std_Logic_Unsigned_Ne_Int_Slv (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
39437 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Unsigned_Ne_Int_Slv}@anchor{14b7}
39438 @deffn {Attribute} Ieee_Std_Logic_Unsigned_Ne_Int_Slv  =  482
39439 @end deffn
39441 @geindex Ieee_Std_Logic_Unsigned_Conv_Integer (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
39442 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Unsigned_Conv_Integer}@anchor{14b8}
39443 @deffn {Attribute} Ieee_Std_Logic_Unsigned_Conv_Integer  =  483
39444 @end deffn
39446 @geindex Ieee_Std_Logic_Unsigned_Shl (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
39447 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Unsigned_Shl}@anchor{14b9}
39448 @deffn {Attribute} Ieee_Std_Logic_Unsigned_Shl  =  484
39449 @end deffn
39451 @geindex Ieee_Std_Logic_Unsigned_Shr (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
39452 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Unsigned_Shr}@anchor{14ba}
39453 @deffn {Attribute} Ieee_Std_Logic_Unsigned_Shr  =  485
39454 @end deffn
39456 @geindex Ieee_Std_Logic_Signed_Add_Slv_Slv (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
39457 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Signed_Add_Slv_Slv}@anchor{14bb}
39458 @deffn {Attribute} Ieee_Std_Logic_Signed_Add_Slv_Slv  =  486
39459 @end deffn
39461 @geindex Ieee_Std_Logic_Signed_Add_Slv_Int (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
39462 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Signed_Add_Slv_Int}@anchor{14bc}
39463 @deffn {Attribute} Ieee_Std_Logic_Signed_Add_Slv_Int  =  487
39464 @end deffn
39466 @geindex Ieee_Std_Logic_Signed_Add_Int_Slv (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
39467 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Signed_Add_Int_Slv}@anchor{14bd}
39468 @deffn {Attribute} Ieee_Std_Logic_Signed_Add_Int_Slv  =  488
39469 @end deffn
39471 @geindex Ieee_Std_Logic_Signed_Add_Slv_Log (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
39472 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Signed_Add_Slv_Log}@anchor{14be}
39473 @deffn {Attribute} Ieee_Std_Logic_Signed_Add_Slv_Log  =  489
39474 @end deffn
39476 @geindex Ieee_Std_Logic_Signed_Add_Log_Slv (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
39477 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Signed_Add_Log_Slv}@anchor{14bf}
39478 @deffn {Attribute} Ieee_Std_Logic_Signed_Add_Log_Slv  =  490
39479 @end deffn
39481 @geindex Ieee_Std_Logic_Signed_Sub_Slv_Slv (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
39482 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Signed_Sub_Slv_Slv}@anchor{14c0}
39483 @deffn {Attribute} Ieee_Std_Logic_Signed_Sub_Slv_Slv  =  491
39484 @end deffn
39486 @geindex Ieee_Std_Logic_Signed_Sub_Slv_Int (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
39487 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Signed_Sub_Slv_Int}@anchor{14c1}
39488 @deffn {Attribute} Ieee_Std_Logic_Signed_Sub_Slv_Int  =  492
39489 @end deffn
39491 @geindex Ieee_Std_Logic_Signed_Sub_Int_Slv (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
39492 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Signed_Sub_Int_Slv}@anchor{14c2}
39493 @deffn {Attribute} Ieee_Std_Logic_Signed_Sub_Int_Slv  =  493
39494 @end deffn
39496 @geindex Ieee_Std_Logic_Signed_Sub_Slv_Log (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
39497 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Signed_Sub_Slv_Log}@anchor{14c3}
39498 @deffn {Attribute} Ieee_Std_Logic_Signed_Sub_Slv_Log  =  494
39499 @end deffn
39501 @geindex Ieee_Std_Logic_Signed_Sub_Log_Slv (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
39502 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Signed_Sub_Log_Slv}@anchor{14c4}
39503 @deffn {Attribute} Ieee_Std_Logic_Signed_Sub_Log_Slv  =  495
39504 @end deffn
39506 @geindex Ieee_Std_Logic_Signed_Id_Slv (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
39507 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Signed_Id_Slv}@anchor{14c5}
39508 @deffn {Attribute} Ieee_Std_Logic_Signed_Id_Slv  =  496
39509 @end deffn
39511 @geindex Ieee_Std_Logic_Signed_Neg_Slv (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
39512 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Signed_Neg_Slv}@anchor{14c6}
39513 @deffn {Attribute} Ieee_Std_Logic_Signed_Neg_Slv  =  497
39514 @end deffn
39516 @geindex Ieee_Std_Logic_Signed_Abs_Slv (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
39517 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Signed_Abs_Slv}@anchor{14c7}
39518 @deffn {Attribute} Ieee_Std_Logic_Signed_Abs_Slv  =  498
39519 @end deffn
39521 @geindex Ieee_Std_Logic_Signed_Mul_Slv_Slv (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
39522 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Signed_Mul_Slv_Slv}@anchor{14c8}
39523 @deffn {Attribute} Ieee_Std_Logic_Signed_Mul_Slv_Slv  =  499
39524 @end deffn
39526 @geindex Ieee_Std_Logic_Signed_Lt_Slv_Slv (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
39527 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Signed_Lt_Slv_Slv}@anchor{14c9}
39528 @deffn {Attribute} Ieee_Std_Logic_Signed_Lt_Slv_Slv  =  500
39529 @end deffn
39531 @geindex Ieee_Std_Logic_Signed_Lt_Slv_Int (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
39532 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Signed_Lt_Slv_Int}@anchor{14ca}
39533 @deffn {Attribute} Ieee_Std_Logic_Signed_Lt_Slv_Int  =  501
39534 @end deffn
39536 @geindex Ieee_Std_Logic_Signed_Lt_Int_Slv (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
39537 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Signed_Lt_Int_Slv}@anchor{14cb}
39538 @deffn {Attribute} Ieee_Std_Logic_Signed_Lt_Int_Slv  =  502
39539 @end deffn
39541 @geindex Ieee_Std_Logic_Signed_Le_Slv_Slv (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
39542 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Signed_Le_Slv_Slv}@anchor{14cc}
39543 @deffn {Attribute} Ieee_Std_Logic_Signed_Le_Slv_Slv  =  503
39544 @end deffn
39546 @geindex Ieee_Std_Logic_Signed_Le_Slv_Int (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
39547 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Signed_Le_Slv_Int}@anchor{14cd}
39548 @deffn {Attribute} Ieee_Std_Logic_Signed_Le_Slv_Int  =  504
39549 @end deffn
39551 @geindex Ieee_Std_Logic_Signed_Le_Int_Slv (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
39552 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Signed_Le_Int_Slv}@anchor{14ce}
39553 @deffn {Attribute} Ieee_Std_Logic_Signed_Le_Int_Slv  =  505
39554 @end deffn
39556 @geindex Ieee_Std_Logic_Signed_Gt_Slv_Slv (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
39557 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Signed_Gt_Slv_Slv}@anchor{14cf}
39558 @deffn {Attribute} Ieee_Std_Logic_Signed_Gt_Slv_Slv  =  506
39559 @end deffn
39561 @geindex Ieee_Std_Logic_Signed_Gt_Slv_Int (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
39562 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Signed_Gt_Slv_Int}@anchor{14d0}
39563 @deffn {Attribute} Ieee_Std_Logic_Signed_Gt_Slv_Int  =  507
39564 @end deffn
39566 @geindex Ieee_Std_Logic_Signed_Gt_Int_Slv (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
39567 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Signed_Gt_Int_Slv}@anchor{14d1}
39568 @deffn {Attribute} Ieee_Std_Logic_Signed_Gt_Int_Slv  =  508
39569 @end deffn
39571 @geindex Ieee_Std_Logic_Signed_Ge_Slv_Slv (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
39572 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Signed_Ge_Slv_Slv}@anchor{14d2}
39573 @deffn {Attribute} Ieee_Std_Logic_Signed_Ge_Slv_Slv  =  509
39574 @end deffn
39576 @geindex Ieee_Std_Logic_Signed_Ge_Slv_Int (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
39577 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Signed_Ge_Slv_Int}@anchor{14d3}
39578 @deffn {Attribute} Ieee_Std_Logic_Signed_Ge_Slv_Int  =  510
39579 @end deffn
39581 @geindex Ieee_Std_Logic_Signed_Ge_Int_Slv (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
39582 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Signed_Ge_Int_Slv}@anchor{14d4}
39583 @deffn {Attribute} Ieee_Std_Logic_Signed_Ge_Int_Slv  =  511
39584 @end deffn
39586 @geindex Ieee_Std_Logic_Signed_Eq_Slv_Slv (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
39587 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Signed_Eq_Slv_Slv}@anchor{14d5}
39588 @deffn {Attribute} Ieee_Std_Logic_Signed_Eq_Slv_Slv  =  512
39589 @end deffn
39591 @geindex Ieee_Std_Logic_Signed_Eq_Slv_Int (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
39592 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Signed_Eq_Slv_Int}@anchor{14d6}
39593 @deffn {Attribute} Ieee_Std_Logic_Signed_Eq_Slv_Int  =  513
39594 @end deffn
39596 @geindex Ieee_Std_Logic_Signed_Eq_Int_Slv (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
39597 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Signed_Eq_Int_Slv}@anchor{14d7}
39598 @deffn {Attribute} Ieee_Std_Logic_Signed_Eq_Int_Slv  =  514
39599 @end deffn
39601 @geindex Ieee_Std_Logic_Signed_Ne_Slv_Slv (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
39602 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Signed_Ne_Slv_Slv}@anchor{14d8}
39603 @deffn {Attribute} Ieee_Std_Logic_Signed_Ne_Slv_Slv  =  515
39604 @end deffn
39606 @geindex Ieee_Std_Logic_Signed_Ne_Slv_Int (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
39607 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Signed_Ne_Slv_Int}@anchor{14d9}
39608 @deffn {Attribute} Ieee_Std_Logic_Signed_Ne_Slv_Int  =  516
39609 @end deffn
39611 @geindex Ieee_Std_Logic_Signed_Ne_Int_Slv (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
39612 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Signed_Ne_Int_Slv}@anchor{14da}
39613 @deffn {Attribute} Ieee_Std_Logic_Signed_Ne_Int_Slv  =  517
39614 @end deffn
39616 @geindex Ieee_Std_Logic_Signed_Conv_Integer (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
39617 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Signed_Conv_Integer}@anchor{14db}
39618 @deffn {Attribute} Ieee_Std_Logic_Signed_Conv_Integer  =  518
39619 @end deffn
39621 @geindex Ieee_Std_Logic_Signed_Shl (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
39622 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Signed_Shl}@anchor{14dc}
39623 @deffn {Attribute} Ieee_Std_Logic_Signed_Shl  =  519
39624 @end deffn
39626 @geindex Ieee_Std_Logic_Signed_Shr (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
39627 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Signed_Shr}@anchor{14dd}
39628 @deffn {Attribute} Ieee_Std_Logic_Signed_Shr  =  520
39629 @end deffn
39631 @geindex Ieee_Std_Logic_Arith_Conv_Unsigned_Int (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
39632 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Arith_Conv_Unsigned_Int}@anchor{14de}
39633 @deffn {Attribute} Ieee_Std_Logic_Arith_Conv_Unsigned_Int  =  521
39634 @end deffn
39636 @geindex Ieee_Std_Logic_Arith_Conv_Unsigned_Uns (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
39637 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Arith_Conv_Unsigned_Uns}@anchor{14df}
39638 @deffn {Attribute} Ieee_Std_Logic_Arith_Conv_Unsigned_Uns  =  522
39639 @end deffn
39641 @geindex Ieee_Std_Logic_Arith_Conv_Unsigned_Sgn (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
39642 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Arith_Conv_Unsigned_Sgn}@anchor{14e0}
39643 @deffn {Attribute} Ieee_Std_Logic_Arith_Conv_Unsigned_Sgn  =  523
39644 @end deffn
39646 @geindex Ieee_Std_Logic_Arith_Conv_Unsigned_Log (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
39647 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Arith_Conv_Unsigned_Log}@anchor{14e1}
39648 @deffn {Attribute} Ieee_Std_Logic_Arith_Conv_Unsigned_Log  =  524
39649 @end deffn
39651 @geindex Ieee_Std_Logic_Arith_Conv_Integer_Int (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
39652 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Arith_Conv_Integer_Int}@anchor{14e2}
39653 @deffn {Attribute} Ieee_Std_Logic_Arith_Conv_Integer_Int  =  525
39654 @end deffn
39656 @geindex Ieee_Std_Logic_Arith_Conv_Integer_Uns (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
39657 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Arith_Conv_Integer_Uns}@anchor{14e3}
39658 @deffn {Attribute} Ieee_Std_Logic_Arith_Conv_Integer_Uns  =  526
39659 @end deffn
39661 @geindex Ieee_Std_Logic_Arith_Conv_Integer_Sgn (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
39662 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Arith_Conv_Integer_Sgn}@anchor{14e4}
39663 @deffn {Attribute} Ieee_Std_Logic_Arith_Conv_Integer_Sgn  =  527
39664 @end deffn
39666 @geindex Ieee_Std_Logic_Arith_Conv_Integer_Log (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
39667 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Arith_Conv_Integer_Log}@anchor{14e5}
39668 @deffn {Attribute} Ieee_Std_Logic_Arith_Conv_Integer_Log  =  528
39669 @end deffn
39671 @geindex Ieee_Std_Logic_Arith_Conv_Vector_Int (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
39672 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Arith_Conv_Vector_Int}@anchor{14e6}
39673 @deffn {Attribute} Ieee_Std_Logic_Arith_Conv_Vector_Int  =  529
39674 @end deffn
39676 @geindex Ieee_Std_Logic_Arith_Conv_Vector_Uns (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
39677 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Arith_Conv_Vector_Uns}@anchor{14e7}
39678 @deffn {Attribute} Ieee_Std_Logic_Arith_Conv_Vector_Uns  =  530
39679 @end deffn
39681 @geindex Ieee_Std_Logic_Arith_Conv_Vector_Sgn (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
39682 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Arith_Conv_Vector_Sgn}@anchor{14e8}
39683 @deffn {Attribute} Ieee_Std_Logic_Arith_Conv_Vector_Sgn  =  531
39684 @end deffn
39686 @geindex Ieee_Std_Logic_Arith_Conv_Vector_Log (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
39687 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Arith_Conv_Vector_Log}@anchor{14e9}
39688 @deffn {Attribute} Ieee_Std_Logic_Arith_Conv_Vector_Log  =  532
39689 @end deffn
39691 @geindex Ieee_Std_Logic_Arith_Ext (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
39692 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Arith_Ext}@anchor{14ea}
39693 @deffn {Attribute} Ieee_Std_Logic_Arith_Ext  =  533
39694 @end deffn
39696 @geindex Ieee_Std_Logic_Arith_Sxt (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
39697 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Arith_Sxt}@anchor{14eb}
39698 @deffn {Attribute} Ieee_Std_Logic_Arith_Sxt  =  534
39699 @end deffn
39701 @geindex Ieee_Std_Logic_Arith_Id_Uns_Uns (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
39702 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Arith_Id_Uns_Uns}@anchor{14ec}
39703 @deffn {Attribute} Ieee_Std_Logic_Arith_Id_Uns_Uns  =  535
39704 @end deffn
39706 @geindex Ieee_Std_Logic_Arith_Id_Sgn_Sgn (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
39707 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Arith_Id_Sgn_Sgn}@anchor{14ed}
39708 @deffn {Attribute} Ieee_Std_Logic_Arith_Id_Sgn_Sgn  =  536
39709 @end deffn
39711 @geindex Ieee_Std_Logic_Arith_Neg_Sgn_Sgn (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
39712 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Arith_Neg_Sgn_Sgn}@anchor{14ee}
39713 @deffn {Attribute} Ieee_Std_Logic_Arith_Neg_Sgn_Sgn  =  537
39714 @end deffn
39716 @geindex Ieee_Std_Logic_Arith_Abs_Sgn_Sgn (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
39717 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Arith_Abs_Sgn_Sgn}@anchor{14ef}
39718 @deffn {Attribute} Ieee_Std_Logic_Arith_Abs_Sgn_Sgn  =  538
39719 @end deffn
39721 @geindex Ieee_Std_Logic_Arith_Shl_Uns (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
39722 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Arith_Shl_Uns}@anchor{14f0}
39723 @deffn {Attribute} Ieee_Std_Logic_Arith_Shl_Uns  =  539
39724 @end deffn
39726 @geindex Ieee_Std_Logic_Arith_Shl_Sgn (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
39727 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Arith_Shl_Sgn}@anchor{14f1}
39728 @deffn {Attribute} Ieee_Std_Logic_Arith_Shl_Sgn  =  540
39729 @end deffn
39731 @geindex Ieee_Std_Logic_Arith_Shr_Uns (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
39732 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Arith_Shr_Uns}@anchor{14f2}
39733 @deffn {Attribute} Ieee_Std_Logic_Arith_Shr_Uns  =  541
39734 @end deffn
39736 @geindex Ieee_Std_Logic_Arith_Shr_Sgn (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
39737 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Arith_Shr_Sgn}@anchor{14f3}
39738 @deffn {Attribute} Ieee_Std_Logic_Arith_Shr_Sgn  =  542
39739 @end deffn
39741 @geindex Ieee_Std_Logic_Arith_Id_Uns_Slv (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
39742 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Arith_Id_Uns_Slv}@anchor{14f4}
39743 @deffn {Attribute} Ieee_Std_Logic_Arith_Id_Uns_Slv  =  543
39744 @end deffn
39746 @geindex Ieee_Std_Logic_Arith_Id_Sgn_Slv (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
39747 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Arith_Id_Sgn_Slv}@anchor{14f5}
39748 @deffn {Attribute} Ieee_Std_Logic_Arith_Id_Sgn_Slv  =  544
39749 @end deffn
39751 @geindex Ieee_Std_Logic_Arith_Neg_Sgn_Slv (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
39752 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Arith_Neg_Sgn_Slv}@anchor{14f6}
39753 @deffn {Attribute} Ieee_Std_Logic_Arith_Neg_Sgn_Slv  =  545
39754 @end deffn
39756 @geindex Ieee_Std_Logic_Arith_Abs_Sgn_Slv (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
39757 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Arith_Abs_Sgn_Slv}@anchor{14f7}
39758 @deffn {Attribute} Ieee_Std_Logic_Arith_Abs_Sgn_Slv  =  546
39759 @end deffn
39761 @geindex Ieee_Std_Logic_Arith_Mul_Uns_Uns_Uns (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
39762 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Arith_Mul_Uns_Uns_Uns}@anchor{14f8}
39763 @deffn {Attribute} Ieee_Std_Logic_Arith_Mul_Uns_Uns_Uns  =  547
39764 @end deffn
39766 @geindex Ieee_Std_Logic_Arith_Mul_Sgn_Sgn_Sgn (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
39767 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Arith_Mul_Sgn_Sgn_Sgn}@anchor{14f9}
39768 @deffn {Attribute} Ieee_Std_Logic_Arith_Mul_Sgn_Sgn_Sgn  =  548
39769 @end deffn
39771 @geindex Ieee_Std_Logic_Arith_Mul_Sgn_Uns_Sgn (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
39772 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Arith_Mul_Sgn_Uns_Sgn}@anchor{14fa}
39773 @deffn {Attribute} Ieee_Std_Logic_Arith_Mul_Sgn_Uns_Sgn  =  549
39774 @end deffn
39776 @geindex Ieee_Std_Logic_Arith_Mul_Uns_Sgn_Sgn (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
39777 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Arith_Mul_Uns_Sgn_Sgn}@anchor{14fb}
39778 @deffn {Attribute} Ieee_Std_Logic_Arith_Mul_Uns_Sgn_Sgn  =  550
39779 @end deffn
39781 @geindex Ieee_Std_Logic_Arith_Mul_Uns_Uns_Slv (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
39782 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Arith_Mul_Uns_Uns_Slv}@anchor{14fc}
39783 @deffn {Attribute} Ieee_Std_Logic_Arith_Mul_Uns_Uns_Slv  =  551
39784 @end deffn
39786 @geindex Ieee_Std_Logic_Arith_Mul_Sgn_Sgn_Slv (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
39787 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Arith_Mul_Sgn_Sgn_Slv}@anchor{14fd}
39788 @deffn {Attribute} Ieee_Std_Logic_Arith_Mul_Sgn_Sgn_Slv  =  552
39789 @end deffn
39791 @geindex Ieee_Std_Logic_Arith_Mul_Sgn_Uns_Slv (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
39792 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Arith_Mul_Sgn_Uns_Slv}@anchor{14fe}
39793 @deffn {Attribute} Ieee_Std_Logic_Arith_Mul_Sgn_Uns_Slv  =  553
39794 @end deffn
39796 @geindex Ieee_Std_Logic_Arith_Mul_Uns_Sgn_Slv (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
39797 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Arith_Mul_Uns_Sgn_Slv}@anchor{14ff}
39798 @deffn {Attribute} Ieee_Std_Logic_Arith_Mul_Uns_Sgn_Slv  =  554
39799 @end deffn
39801 @geindex Ieee_Std_Logic_Arith_Add_Uns_Uns_Uns (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
39802 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Arith_Add_Uns_Uns_Uns}@anchor{1500}
39803 @deffn {Attribute} Ieee_Std_Logic_Arith_Add_Uns_Uns_Uns  =  555
39804 @end deffn
39806 @geindex Ieee_Std_Logic_Arith_Add_Sgn_Sgn_Sgn (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
39807 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Arith_Add_Sgn_Sgn_Sgn}@anchor{1501}
39808 @deffn {Attribute} Ieee_Std_Logic_Arith_Add_Sgn_Sgn_Sgn  =  556
39809 @end deffn
39811 @geindex Ieee_Std_Logic_Arith_Add_Uns_Sgn_Sgn (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
39812 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Arith_Add_Uns_Sgn_Sgn}@anchor{1502}
39813 @deffn {Attribute} Ieee_Std_Logic_Arith_Add_Uns_Sgn_Sgn  =  557
39814 @end deffn
39816 @geindex Ieee_Std_Logic_Arith_Add_Sgn_Uns_Sgn (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
39817 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Arith_Add_Sgn_Uns_Sgn}@anchor{1503}
39818 @deffn {Attribute} Ieee_Std_Logic_Arith_Add_Sgn_Uns_Sgn  =  558
39819 @end deffn
39821 @geindex Ieee_Std_Logic_Arith_Add_Uns_Int_Uns (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
39822 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Arith_Add_Uns_Int_Uns}@anchor{1504}
39823 @deffn {Attribute} Ieee_Std_Logic_Arith_Add_Uns_Int_Uns  =  559
39824 @end deffn
39826 @geindex Ieee_Std_Logic_Arith_Add_Int_Uns_Uns (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
39827 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Arith_Add_Int_Uns_Uns}@anchor{1505}
39828 @deffn {Attribute} Ieee_Std_Logic_Arith_Add_Int_Uns_Uns  =  560
39829 @end deffn
39831 @geindex Ieee_Std_Logic_Arith_Add_Sgn_Int_Sgn (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
39832 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Arith_Add_Sgn_Int_Sgn}@anchor{1506}
39833 @deffn {Attribute} Ieee_Std_Logic_Arith_Add_Sgn_Int_Sgn  =  561
39834 @end deffn
39836 @geindex Ieee_Std_Logic_Arith_Add_Int_Sgn_Sgn (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
39837 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Arith_Add_Int_Sgn_Sgn}@anchor{1507}
39838 @deffn {Attribute} Ieee_Std_Logic_Arith_Add_Int_Sgn_Sgn  =  562
39839 @end deffn
39841 @geindex Ieee_Std_Logic_Arith_Add_Uns_Log_Uns (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
39842 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Arith_Add_Uns_Log_Uns}@anchor{1508}
39843 @deffn {Attribute} Ieee_Std_Logic_Arith_Add_Uns_Log_Uns  =  563
39844 @end deffn
39846 @geindex Ieee_Std_Logic_Arith_Add_Log_Uns_Uns (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
39847 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Arith_Add_Log_Uns_Uns}@anchor{1509}
39848 @deffn {Attribute} Ieee_Std_Logic_Arith_Add_Log_Uns_Uns  =  564
39849 @end deffn
39851 @geindex Ieee_Std_Logic_Arith_Add_Sgn_Log_Sgn (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
39852 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Arith_Add_Sgn_Log_Sgn}@anchor{150a}
39853 @deffn {Attribute} Ieee_Std_Logic_Arith_Add_Sgn_Log_Sgn  =  565
39854 @end deffn
39856 @geindex Ieee_Std_Logic_Arith_Add_Log_Sgn_Sgn (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
39857 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Arith_Add_Log_Sgn_Sgn}@anchor{150b}
39858 @deffn {Attribute} Ieee_Std_Logic_Arith_Add_Log_Sgn_Sgn  =  566
39859 @end deffn
39861 @geindex Ieee_Std_Logic_Arith_Add_Uns_Uns_Slv (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
39862 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Arith_Add_Uns_Uns_Slv}@anchor{150c}
39863 @deffn {Attribute} Ieee_Std_Logic_Arith_Add_Uns_Uns_Slv  =  567
39864 @end deffn
39866 @geindex Ieee_Std_Logic_Arith_Add_Sgn_Sgn_Slv (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
39867 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Arith_Add_Sgn_Sgn_Slv}@anchor{150d}
39868 @deffn {Attribute} Ieee_Std_Logic_Arith_Add_Sgn_Sgn_Slv  =  568
39869 @end deffn
39871 @geindex Ieee_Std_Logic_Arith_Add_Uns_Sgn_Slv (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
39872 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Arith_Add_Uns_Sgn_Slv}@anchor{150e}
39873 @deffn {Attribute} Ieee_Std_Logic_Arith_Add_Uns_Sgn_Slv  =  569
39874 @end deffn
39876 @geindex Ieee_Std_Logic_Arith_Add_Sgn_Uns_Slv (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
39877 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Arith_Add_Sgn_Uns_Slv}@anchor{150f}
39878 @deffn {Attribute} Ieee_Std_Logic_Arith_Add_Sgn_Uns_Slv  =  570
39879 @end deffn
39881 @geindex Ieee_Std_Logic_Arith_Add_Uns_Int_Slv (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
39882 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Arith_Add_Uns_Int_Slv}@anchor{1510}
39883 @deffn {Attribute} Ieee_Std_Logic_Arith_Add_Uns_Int_Slv  =  571
39884 @end deffn
39886 @geindex Ieee_Std_Logic_Arith_Add_Int_Uns_Slv (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
39887 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Arith_Add_Int_Uns_Slv}@anchor{1511}
39888 @deffn {Attribute} Ieee_Std_Logic_Arith_Add_Int_Uns_Slv  =  572
39889 @end deffn
39891 @geindex Ieee_Std_Logic_Arith_Add_Sgn_Int_Slv (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
39892 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Arith_Add_Sgn_Int_Slv}@anchor{1512}
39893 @deffn {Attribute} Ieee_Std_Logic_Arith_Add_Sgn_Int_Slv  =  573
39894 @end deffn
39896 @geindex Ieee_Std_Logic_Arith_Add_Int_Sgn_Slv (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
39897 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Arith_Add_Int_Sgn_Slv}@anchor{1513}
39898 @deffn {Attribute} Ieee_Std_Logic_Arith_Add_Int_Sgn_Slv  =  574
39899 @end deffn
39901 @geindex Ieee_Std_Logic_Arith_Add_Uns_Log_Slv (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
39902 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Arith_Add_Uns_Log_Slv}@anchor{1514}
39903 @deffn {Attribute} Ieee_Std_Logic_Arith_Add_Uns_Log_Slv  =  575
39904 @end deffn
39906 @geindex Ieee_Std_Logic_Arith_Add_Log_Uns_Slv (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
39907 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Arith_Add_Log_Uns_Slv}@anchor{1515}
39908 @deffn {Attribute} Ieee_Std_Logic_Arith_Add_Log_Uns_Slv  =  576
39909 @end deffn
39911 @geindex Ieee_Std_Logic_Arith_Add_Sgn_Log_Slv (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
39912 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Arith_Add_Sgn_Log_Slv}@anchor{1516}
39913 @deffn {Attribute} Ieee_Std_Logic_Arith_Add_Sgn_Log_Slv  =  577
39914 @end deffn
39916 @geindex Ieee_Std_Logic_Arith_Add_Log_Sgn_Slv (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
39917 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Arith_Add_Log_Sgn_Slv}@anchor{1517}
39918 @deffn {Attribute} Ieee_Std_Logic_Arith_Add_Log_Sgn_Slv  =  578
39919 @end deffn
39921 @geindex Ieee_Std_Logic_Arith_Sub_Uns_Uns_Uns (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
39922 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Arith_Sub_Uns_Uns_Uns}@anchor{1518}
39923 @deffn {Attribute} Ieee_Std_Logic_Arith_Sub_Uns_Uns_Uns  =  579
39924 @end deffn
39926 @geindex Ieee_Std_Logic_Arith_Sub_Sgn_Sgn_Sgn (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
39927 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Arith_Sub_Sgn_Sgn_Sgn}@anchor{1519}
39928 @deffn {Attribute} Ieee_Std_Logic_Arith_Sub_Sgn_Sgn_Sgn  =  580
39929 @end deffn
39931 @geindex Ieee_Std_Logic_Arith_Sub_Uns_Sgn_Sgn (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
39932 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Arith_Sub_Uns_Sgn_Sgn}@anchor{151a}
39933 @deffn {Attribute} Ieee_Std_Logic_Arith_Sub_Uns_Sgn_Sgn  =  581
39934 @end deffn
39936 @geindex Ieee_Std_Logic_Arith_Sub_Sgn_Uns_Sgn (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
39937 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Arith_Sub_Sgn_Uns_Sgn}@anchor{151b}
39938 @deffn {Attribute} Ieee_Std_Logic_Arith_Sub_Sgn_Uns_Sgn  =  582
39939 @end deffn
39941 @geindex Ieee_Std_Logic_Arith_Sub_Uns_Int_Uns (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
39942 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Arith_Sub_Uns_Int_Uns}@anchor{151c}
39943 @deffn {Attribute} Ieee_Std_Logic_Arith_Sub_Uns_Int_Uns  =  583
39944 @end deffn
39946 @geindex Ieee_Std_Logic_Arith_Sub_Int_Uns_Uns (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
39947 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Arith_Sub_Int_Uns_Uns}@anchor{151d}
39948 @deffn {Attribute} Ieee_Std_Logic_Arith_Sub_Int_Uns_Uns  =  584
39949 @end deffn
39951 @geindex Ieee_Std_Logic_Arith_Sub_Sgn_Int_Sgn (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
39952 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Arith_Sub_Sgn_Int_Sgn}@anchor{151e}
39953 @deffn {Attribute} Ieee_Std_Logic_Arith_Sub_Sgn_Int_Sgn  =  585
39954 @end deffn
39956 @geindex Ieee_Std_Logic_Arith_Sub_Int_Sgn_Sgn (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
39957 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Arith_Sub_Int_Sgn_Sgn}@anchor{151f}
39958 @deffn {Attribute} Ieee_Std_Logic_Arith_Sub_Int_Sgn_Sgn  =  586
39959 @end deffn
39961 @geindex Ieee_Std_Logic_Arith_Sub_Uns_Log_Uns (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
39962 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Arith_Sub_Uns_Log_Uns}@anchor{1520}
39963 @deffn {Attribute} Ieee_Std_Logic_Arith_Sub_Uns_Log_Uns  =  587
39964 @end deffn
39966 @geindex Ieee_Std_Logic_Arith_Sub_Log_Uns_Uns (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
39967 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Arith_Sub_Log_Uns_Uns}@anchor{1521}
39968 @deffn {Attribute} Ieee_Std_Logic_Arith_Sub_Log_Uns_Uns  =  588
39969 @end deffn
39971 @geindex Ieee_Std_Logic_Arith_Sub_Sgn_Log_Sgn (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
39972 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Arith_Sub_Sgn_Log_Sgn}@anchor{1522}
39973 @deffn {Attribute} Ieee_Std_Logic_Arith_Sub_Sgn_Log_Sgn  =  589
39974 @end deffn
39976 @geindex Ieee_Std_Logic_Arith_Sub_Log_Sgn_Sgn (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
39977 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Arith_Sub_Log_Sgn_Sgn}@anchor{1523}
39978 @deffn {Attribute} Ieee_Std_Logic_Arith_Sub_Log_Sgn_Sgn  =  590
39979 @end deffn
39981 @geindex Ieee_Std_Logic_Arith_Sub_Uns_Uns_Slv (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
39982 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Arith_Sub_Uns_Uns_Slv}@anchor{1524}
39983 @deffn {Attribute} Ieee_Std_Logic_Arith_Sub_Uns_Uns_Slv  =  591
39984 @end deffn
39986 @geindex Ieee_Std_Logic_Arith_Sub_Sgn_Sgn_Slv (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
39987 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Arith_Sub_Sgn_Sgn_Slv}@anchor{1525}
39988 @deffn {Attribute} Ieee_Std_Logic_Arith_Sub_Sgn_Sgn_Slv  =  592
39989 @end deffn
39991 @geindex Ieee_Std_Logic_Arith_Sub_Uns_Sgn_Slv (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
39992 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Arith_Sub_Uns_Sgn_Slv}@anchor{1526}
39993 @deffn {Attribute} Ieee_Std_Logic_Arith_Sub_Uns_Sgn_Slv  =  593
39994 @end deffn
39996 @geindex Ieee_Std_Logic_Arith_Sub_Sgn_Uns_Slv (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
39997 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Arith_Sub_Sgn_Uns_Slv}@anchor{1527}
39998 @deffn {Attribute} Ieee_Std_Logic_Arith_Sub_Sgn_Uns_Slv  =  594
39999 @end deffn
40001 @geindex Ieee_Std_Logic_Arith_Sub_Uns_Int_Slv (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
40002 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Arith_Sub_Uns_Int_Slv}@anchor{1528}
40003 @deffn {Attribute} Ieee_Std_Logic_Arith_Sub_Uns_Int_Slv  =  595
40004 @end deffn
40006 @geindex Ieee_Std_Logic_Arith_Sub_Int_Uns_Slv (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
40007 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Arith_Sub_Int_Uns_Slv}@anchor{1529}
40008 @deffn {Attribute} Ieee_Std_Logic_Arith_Sub_Int_Uns_Slv  =  596
40009 @end deffn
40011 @geindex Ieee_Std_Logic_Arith_Sub_Sgn_Int_Slv (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
40012 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Arith_Sub_Sgn_Int_Slv}@anchor{152a}
40013 @deffn {Attribute} Ieee_Std_Logic_Arith_Sub_Sgn_Int_Slv  =  597
40014 @end deffn
40016 @geindex Ieee_Std_Logic_Arith_Sub_Int_Sgn_Slv (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
40017 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Arith_Sub_Int_Sgn_Slv}@anchor{152b}
40018 @deffn {Attribute} Ieee_Std_Logic_Arith_Sub_Int_Sgn_Slv  =  598
40019 @end deffn
40021 @geindex Ieee_Std_Logic_Arith_Sub_Uns_Log_Slv (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
40022 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Arith_Sub_Uns_Log_Slv}@anchor{152c}
40023 @deffn {Attribute} Ieee_Std_Logic_Arith_Sub_Uns_Log_Slv  =  599
40024 @end deffn
40026 @geindex Ieee_Std_Logic_Arith_Sub_Log_Uns_Slv (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
40027 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Arith_Sub_Log_Uns_Slv}@anchor{152d}
40028 @deffn {Attribute} Ieee_Std_Logic_Arith_Sub_Log_Uns_Slv  =  600
40029 @end deffn
40031 @geindex Ieee_Std_Logic_Arith_Sub_Sgn_Log_Slv (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
40032 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Arith_Sub_Sgn_Log_Slv}@anchor{152e}
40033 @deffn {Attribute} Ieee_Std_Logic_Arith_Sub_Sgn_Log_Slv  =  601
40034 @end deffn
40036 @geindex Ieee_Std_Logic_Arith_Sub_Log_Sgn_Slv (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
40037 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Arith_Sub_Log_Sgn_Slv}@anchor{152f}
40038 @deffn {Attribute} Ieee_Std_Logic_Arith_Sub_Log_Sgn_Slv  =  602
40039 @end deffn
40041 @geindex Ieee_Std_Logic_Arith_Lt_Uns_Uns (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
40042 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Arith_Lt_Uns_Uns}@anchor{1530}
40043 @deffn {Attribute} Ieee_Std_Logic_Arith_Lt_Uns_Uns  =  603
40044 @end deffn
40046 @geindex Ieee_Std_Logic_Arith_Lt_Sgn_Sgn (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
40047 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Arith_Lt_Sgn_Sgn}@anchor{1531}
40048 @deffn {Attribute} Ieee_Std_Logic_Arith_Lt_Sgn_Sgn  =  604
40049 @end deffn
40051 @geindex Ieee_Std_Logic_Arith_Lt_Uns_Sgn (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
40052 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Arith_Lt_Uns_Sgn}@anchor{1532}
40053 @deffn {Attribute} Ieee_Std_Logic_Arith_Lt_Uns_Sgn  =  605
40054 @end deffn
40056 @geindex Ieee_Std_Logic_Arith_Lt_Sgn_Uns (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
40057 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Arith_Lt_Sgn_Uns}@anchor{1533}
40058 @deffn {Attribute} Ieee_Std_Logic_Arith_Lt_Sgn_Uns  =  606
40059 @end deffn
40061 @geindex Ieee_Std_Logic_Arith_Lt_Uns_Int (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
40062 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Arith_Lt_Uns_Int}@anchor{1534}
40063 @deffn {Attribute} Ieee_Std_Logic_Arith_Lt_Uns_Int  =  607
40064 @end deffn
40066 @geindex Ieee_Std_Logic_Arith_Lt_Int_Uns (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
40067 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Arith_Lt_Int_Uns}@anchor{1535}
40068 @deffn {Attribute} Ieee_Std_Logic_Arith_Lt_Int_Uns  =  608
40069 @end deffn
40071 @geindex Ieee_Std_Logic_Arith_Lt_Sgn_Int (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
40072 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Arith_Lt_Sgn_Int}@anchor{1536}
40073 @deffn {Attribute} Ieee_Std_Logic_Arith_Lt_Sgn_Int  =  609
40074 @end deffn
40076 @geindex Ieee_Std_Logic_Arith_Lt_Int_Sgn (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
40077 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Arith_Lt_Int_Sgn}@anchor{1537}
40078 @deffn {Attribute} Ieee_Std_Logic_Arith_Lt_Int_Sgn  =  610
40079 @end deffn
40081 @geindex Ieee_Std_Logic_Arith_Le_Uns_Uns (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
40082 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Arith_Le_Uns_Uns}@anchor{1538}
40083 @deffn {Attribute} Ieee_Std_Logic_Arith_Le_Uns_Uns  =  611
40084 @end deffn
40086 @geindex Ieee_Std_Logic_Arith_Le_Sgn_Sgn (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
40087 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Arith_Le_Sgn_Sgn}@anchor{1539}
40088 @deffn {Attribute} Ieee_Std_Logic_Arith_Le_Sgn_Sgn  =  612
40089 @end deffn
40091 @geindex Ieee_Std_Logic_Arith_Le_Uns_Sgn (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
40092 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Arith_Le_Uns_Sgn}@anchor{153a}
40093 @deffn {Attribute} Ieee_Std_Logic_Arith_Le_Uns_Sgn  =  613
40094 @end deffn
40096 @geindex Ieee_Std_Logic_Arith_Le_Sgn_Uns (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
40097 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Arith_Le_Sgn_Uns}@anchor{153b}
40098 @deffn {Attribute} Ieee_Std_Logic_Arith_Le_Sgn_Uns  =  614
40099 @end deffn
40101 @geindex Ieee_Std_Logic_Arith_Le_Uns_Int (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
40102 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Arith_Le_Uns_Int}@anchor{153c}
40103 @deffn {Attribute} Ieee_Std_Logic_Arith_Le_Uns_Int  =  615
40104 @end deffn
40106 @geindex Ieee_Std_Logic_Arith_Le_Int_Uns (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
40107 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Arith_Le_Int_Uns}@anchor{153d}
40108 @deffn {Attribute} Ieee_Std_Logic_Arith_Le_Int_Uns  =  616
40109 @end deffn
40111 @geindex Ieee_Std_Logic_Arith_Le_Sgn_Int (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
40112 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Arith_Le_Sgn_Int}@anchor{153e}
40113 @deffn {Attribute} Ieee_Std_Logic_Arith_Le_Sgn_Int  =  617
40114 @end deffn
40116 @geindex Ieee_Std_Logic_Arith_Le_Int_Sgn (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
40117 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Arith_Le_Int_Sgn}@anchor{153f}
40118 @deffn {Attribute} Ieee_Std_Logic_Arith_Le_Int_Sgn  =  618
40119 @end deffn
40121 @geindex Ieee_Std_Logic_Arith_Gt_Uns_Uns (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
40122 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Arith_Gt_Uns_Uns}@anchor{1540}
40123 @deffn {Attribute} Ieee_Std_Logic_Arith_Gt_Uns_Uns  =  619
40124 @end deffn
40126 @geindex Ieee_Std_Logic_Arith_Gt_Sgn_Sgn (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
40127 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Arith_Gt_Sgn_Sgn}@anchor{1541}
40128 @deffn {Attribute} Ieee_Std_Logic_Arith_Gt_Sgn_Sgn  =  620
40129 @end deffn
40131 @geindex Ieee_Std_Logic_Arith_Gt_Uns_Sgn (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
40132 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Arith_Gt_Uns_Sgn}@anchor{1542}
40133 @deffn {Attribute} Ieee_Std_Logic_Arith_Gt_Uns_Sgn  =  621
40134 @end deffn
40136 @geindex Ieee_Std_Logic_Arith_Gt_Sgn_Uns (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
40137 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Arith_Gt_Sgn_Uns}@anchor{1543}
40138 @deffn {Attribute} Ieee_Std_Logic_Arith_Gt_Sgn_Uns  =  622
40139 @end deffn
40141 @geindex Ieee_Std_Logic_Arith_Gt_Uns_Int (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
40142 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Arith_Gt_Uns_Int}@anchor{1544}
40143 @deffn {Attribute} Ieee_Std_Logic_Arith_Gt_Uns_Int  =  623
40144 @end deffn
40146 @geindex Ieee_Std_Logic_Arith_Gt_Int_Uns (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
40147 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Arith_Gt_Int_Uns}@anchor{1545}
40148 @deffn {Attribute} Ieee_Std_Logic_Arith_Gt_Int_Uns  =  624
40149 @end deffn
40151 @geindex Ieee_Std_Logic_Arith_Gt_Sgn_Int (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
40152 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Arith_Gt_Sgn_Int}@anchor{1546}
40153 @deffn {Attribute} Ieee_Std_Logic_Arith_Gt_Sgn_Int  =  625
40154 @end deffn
40156 @geindex Ieee_Std_Logic_Arith_Gt_Int_Sgn (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
40157 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Arith_Gt_Int_Sgn}@anchor{1547}
40158 @deffn {Attribute} Ieee_Std_Logic_Arith_Gt_Int_Sgn  =  626
40159 @end deffn
40161 @geindex Ieee_Std_Logic_Arith_Ge_Uns_Uns (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
40162 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Arith_Ge_Uns_Uns}@anchor{1548}
40163 @deffn {Attribute} Ieee_Std_Logic_Arith_Ge_Uns_Uns  =  627
40164 @end deffn
40166 @geindex Ieee_Std_Logic_Arith_Ge_Sgn_Sgn (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
40167 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Arith_Ge_Sgn_Sgn}@anchor{1549}
40168 @deffn {Attribute} Ieee_Std_Logic_Arith_Ge_Sgn_Sgn  =  628
40169 @end deffn
40171 @geindex Ieee_Std_Logic_Arith_Ge_Uns_Sgn (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
40172 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Arith_Ge_Uns_Sgn}@anchor{154a}
40173 @deffn {Attribute} Ieee_Std_Logic_Arith_Ge_Uns_Sgn  =  629
40174 @end deffn
40176 @geindex Ieee_Std_Logic_Arith_Ge_Sgn_Uns (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
40177 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Arith_Ge_Sgn_Uns}@anchor{154b}
40178 @deffn {Attribute} Ieee_Std_Logic_Arith_Ge_Sgn_Uns  =  630
40179 @end deffn
40181 @geindex Ieee_Std_Logic_Arith_Ge_Uns_Int (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
40182 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Arith_Ge_Uns_Int}@anchor{154c}
40183 @deffn {Attribute} Ieee_Std_Logic_Arith_Ge_Uns_Int  =  631
40184 @end deffn
40186 @geindex Ieee_Std_Logic_Arith_Ge_Int_Uns (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
40187 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Arith_Ge_Int_Uns}@anchor{154d}
40188 @deffn {Attribute} Ieee_Std_Logic_Arith_Ge_Int_Uns  =  632
40189 @end deffn
40191 @geindex Ieee_Std_Logic_Arith_Ge_Sgn_Int (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
40192 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Arith_Ge_Sgn_Int}@anchor{154e}
40193 @deffn {Attribute} Ieee_Std_Logic_Arith_Ge_Sgn_Int  =  633
40194 @end deffn
40196 @geindex Ieee_Std_Logic_Arith_Ge_Int_Sgn (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
40197 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Arith_Ge_Int_Sgn}@anchor{154f}
40198 @deffn {Attribute} Ieee_Std_Logic_Arith_Ge_Int_Sgn  =  634
40199 @end deffn
40201 @geindex Ieee_Std_Logic_Arith_Eq_Uns_Uns (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
40202 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Arith_Eq_Uns_Uns}@anchor{1550}
40203 @deffn {Attribute} Ieee_Std_Logic_Arith_Eq_Uns_Uns  =  635
40204 @end deffn
40206 @geindex Ieee_Std_Logic_Arith_Eq_Sgn_Sgn (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
40207 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Arith_Eq_Sgn_Sgn}@anchor{1551}
40208 @deffn {Attribute} Ieee_Std_Logic_Arith_Eq_Sgn_Sgn  =  636
40209 @end deffn
40211 @geindex Ieee_Std_Logic_Arith_Eq_Uns_Sgn (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
40212 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Arith_Eq_Uns_Sgn}@anchor{1552}
40213 @deffn {Attribute} Ieee_Std_Logic_Arith_Eq_Uns_Sgn  =  637
40214 @end deffn
40216 @geindex Ieee_Std_Logic_Arith_Eq_Sgn_Uns (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
40217 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Arith_Eq_Sgn_Uns}@anchor{1553}
40218 @deffn {Attribute} Ieee_Std_Logic_Arith_Eq_Sgn_Uns  =  638
40219 @end deffn
40221 @geindex Ieee_Std_Logic_Arith_Eq_Uns_Int (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
40222 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Arith_Eq_Uns_Int}@anchor{1554}
40223 @deffn {Attribute} Ieee_Std_Logic_Arith_Eq_Uns_Int  =  639
40224 @end deffn
40226 @geindex Ieee_Std_Logic_Arith_Eq_Int_Uns (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
40227 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Arith_Eq_Int_Uns}@anchor{1555}
40228 @deffn {Attribute} Ieee_Std_Logic_Arith_Eq_Int_Uns  =  640
40229 @end deffn
40231 @geindex Ieee_Std_Logic_Arith_Eq_Sgn_Int (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
40232 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Arith_Eq_Sgn_Int}@anchor{1556}
40233 @deffn {Attribute} Ieee_Std_Logic_Arith_Eq_Sgn_Int  =  641
40234 @end deffn
40236 @geindex Ieee_Std_Logic_Arith_Eq_Int_Sgn (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
40237 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Arith_Eq_Int_Sgn}@anchor{1557}
40238 @deffn {Attribute} Ieee_Std_Logic_Arith_Eq_Int_Sgn  =  642
40239 @end deffn
40241 @geindex Ieee_Std_Logic_Arith_Ne_Uns_Uns (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
40242 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Arith_Ne_Uns_Uns}@anchor{1558}
40243 @deffn {Attribute} Ieee_Std_Logic_Arith_Ne_Uns_Uns  =  643
40244 @end deffn
40246 @geindex Ieee_Std_Logic_Arith_Ne_Sgn_Sgn (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
40247 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Arith_Ne_Sgn_Sgn}@anchor{1559}
40248 @deffn {Attribute} Ieee_Std_Logic_Arith_Ne_Sgn_Sgn  =  644
40249 @end deffn
40251 @geindex Ieee_Std_Logic_Arith_Ne_Uns_Sgn (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
40252 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Arith_Ne_Uns_Sgn}@anchor{155a}
40253 @deffn {Attribute} Ieee_Std_Logic_Arith_Ne_Uns_Sgn  =  645
40254 @end deffn
40256 @geindex Ieee_Std_Logic_Arith_Ne_Sgn_Uns (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
40257 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Arith_Ne_Sgn_Uns}@anchor{155b}
40258 @deffn {Attribute} Ieee_Std_Logic_Arith_Ne_Sgn_Uns  =  646
40259 @end deffn
40261 @geindex Ieee_Std_Logic_Arith_Ne_Uns_Int (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
40262 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Arith_Ne_Uns_Int}@anchor{155c}
40263 @deffn {Attribute} Ieee_Std_Logic_Arith_Ne_Uns_Int  =  647
40264 @end deffn
40266 @geindex Ieee_Std_Logic_Arith_Ne_Int_Uns (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
40267 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Arith_Ne_Int_Uns}@anchor{155d}
40268 @deffn {Attribute} Ieee_Std_Logic_Arith_Ne_Int_Uns  =  648
40269 @end deffn
40271 @geindex Ieee_Std_Logic_Arith_Ne_Sgn_Int (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
40272 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Arith_Ne_Sgn_Int}@anchor{155e}
40273 @deffn {Attribute} Ieee_Std_Logic_Arith_Ne_Sgn_Int  =  649
40274 @end deffn
40276 @geindex Ieee_Std_Logic_Arith_Ne_Int_Sgn (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
40277 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Arith_Ne_Int_Sgn}@anchor{155f}
40278 @deffn {Attribute} Ieee_Std_Logic_Arith_Ne_Int_Sgn  =  650
40279 @end deffn
40281 @geindex Ieee_Std_Logic_Misc_And_Reduce_Slv (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
40282 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Misc_And_Reduce_Slv}@anchor{1560}
40283 @deffn {Attribute} Ieee_Std_Logic_Misc_And_Reduce_Slv  =  651
40284 @end deffn
40286 @geindex Ieee_Std_Logic_Misc_And_Reduce_Suv (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
40287 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Misc_And_Reduce_Suv}@anchor{1561}
40288 @deffn {Attribute} Ieee_Std_Logic_Misc_And_Reduce_Suv  =  652
40289 @end deffn
40291 @geindex Ieee_Std_Logic_Misc_Nand_Reduce_Slv (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
40292 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Misc_Nand_Reduce_Slv}@anchor{1562}
40293 @deffn {Attribute} Ieee_Std_Logic_Misc_Nand_Reduce_Slv  =  653
40294 @end deffn
40296 @geindex Ieee_Std_Logic_Misc_Nand_Reduce_Suv (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
40297 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Misc_Nand_Reduce_Suv}@anchor{1563}
40298 @deffn {Attribute} Ieee_Std_Logic_Misc_Nand_Reduce_Suv  =  654
40299 @end deffn
40301 @geindex Ieee_Std_Logic_Misc_Or_Reduce_Slv (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
40302 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Misc_Or_Reduce_Slv}@anchor{1564}
40303 @deffn {Attribute} Ieee_Std_Logic_Misc_Or_Reduce_Slv  =  655
40304 @end deffn
40306 @geindex Ieee_Std_Logic_Misc_Or_Reduce_Suv (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
40307 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Misc_Or_Reduce_Suv}@anchor{1565}
40308 @deffn {Attribute} Ieee_Std_Logic_Misc_Or_Reduce_Suv  =  656
40309 @end deffn
40311 @geindex Ieee_Std_Logic_Misc_Nor_Reduce_Slv (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
40312 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Misc_Nor_Reduce_Slv}@anchor{1566}
40313 @deffn {Attribute} Ieee_Std_Logic_Misc_Nor_Reduce_Slv  =  657
40314 @end deffn
40316 @geindex Ieee_Std_Logic_Misc_Nor_Reduce_Suv (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
40317 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Misc_Nor_Reduce_Suv}@anchor{1567}
40318 @deffn {Attribute} Ieee_Std_Logic_Misc_Nor_Reduce_Suv  =  658
40319 @end deffn
40321 @geindex Ieee_Std_Logic_Misc_Xor_Reduce_Slv (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
40322 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Misc_Xor_Reduce_Slv}@anchor{1568}
40323 @deffn {Attribute} Ieee_Std_Logic_Misc_Xor_Reduce_Slv  =  659
40324 @end deffn
40326 @geindex Ieee_Std_Logic_Misc_Xor_Reduce_Suv (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
40327 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Misc_Xor_Reduce_Suv}@anchor{1569}
40328 @deffn {Attribute} Ieee_Std_Logic_Misc_Xor_Reduce_Suv  =  660
40329 @end deffn
40331 @geindex Ieee_Std_Logic_Misc_Xnor_Reduce_Slv (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
40332 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Misc_Xnor_Reduce_Slv}@anchor{156a}
40333 @deffn {Attribute} Ieee_Std_Logic_Misc_Xnor_Reduce_Slv  =  661
40334 @end deffn
40336 @geindex Ieee_Std_Logic_Misc_Xnor_Reduce_Suv (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
40337 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Misc_Xnor_Reduce_Suv}@anchor{156b}
40338 @deffn {Attribute} Ieee_Std_Logic_Misc_Xnor_Reduce_Suv  =  662
40339 @end deffn
40340 @end deffn
40342 @c #-----------------------------------
40344 @strong{Functions}
40346 @geindex Get_Kind() (in module pyGHDL.libghdl.vhdl.nodes)
40347 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Kind}@anchor{e45}
40348 @deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Kind (node)
40350 Get node kind.
40352 @*Return type: 
40353 TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{IirKind}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
40355 @end deffn
40357 @geindex Get_Location() (in module pyGHDL.libghdl.vhdl.nodes)
40358 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Location}@anchor{e46}
40359 @deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Location (node)
40361 @*Return type: 
40362 TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{LocationType}, bound= c_uint@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_uint})
40364 @end deffn
40366 @geindex Get_First_Design_Unit() (in module pyGHDL.libghdl.vhdl.nodes)
40367 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_First_Design_Unit}@anchor{e47}
40368 @deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_First_Design_Unit (obj)
40370 @*Return type: 
40371 TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
40373 @end deffn
40375 @geindex Set_First_Design_Unit() (in module pyGHDL.libghdl.vhdl.nodes)
40376 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_First_Design_Unit}@anchor{e48}
40377 @deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_First_Design_Unit (obj, value)
40379 @*Return type: 
40380 None@footnote{https://docs.python.org/3.6/library/constants.html#None}
40382 @end deffn
40384 @geindex Get_Last_Design_Unit() (in module pyGHDL.libghdl.vhdl.nodes)
40385 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Last_Design_Unit}@anchor{e49}
40386 @deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Last_Design_Unit (obj)
40388 @*Return type: 
40389 TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
40391 @end deffn
40393 @geindex Set_Last_Design_Unit() (in module pyGHDL.libghdl.vhdl.nodes)
40394 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Last_Design_Unit}@anchor{e4a}
40395 @deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Last_Design_Unit (obj, value)
40397 @*Return type: 
40398 None@footnote{https://docs.python.org/3.6/library/constants.html#None}
40400 @end deffn
40402 @geindex Get_Library_Declaration() (in module pyGHDL.libghdl.vhdl.nodes)
40403 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Library_Declaration}@anchor{e4b}
40404 @deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Library_Declaration (obj)
40406 @*Return type: 
40407 TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
40409 @end deffn
40411 @geindex Set_Library_Declaration() (in module pyGHDL.libghdl.vhdl.nodes)
40412 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Library_Declaration}@anchor{e4c}
40413 @deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Library_Declaration (obj, value)
40415 @*Return type: 
40416 None@footnote{https://docs.python.org/3.6/library/constants.html#None}
40418 @end deffn
40420 @geindex Get_File_Checksum() (in module pyGHDL.libghdl.vhdl.nodes)
40421 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_File_Checksum}@anchor{e4d}
40422 @deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_File_Checksum (obj)
40424 @*Return type: 
40425 TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{FileChecksumId}, bound= c_uint@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_uint})
40427 @end deffn
40429 @geindex Set_File_Checksum() (in module pyGHDL.libghdl.vhdl.nodes)
40430 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_File_Checksum}@anchor{e4e}
40431 @deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_File_Checksum (obj, value)
40433 @*Return type: 
40434 None@footnote{https://docs.python.org/3.6/library/constants.html#None}
40436 @end deffn
40438 @geindex Get_Analysis_Time_Stamp() (in module pyGHDL.libghdl.vhdl.nodes)
40439 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Analysis_Time_Stamp}@anchor{e4f}
40440 @deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Analysis_Time_Stamp (obj)
40442 @*Return type: 
40443 TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{TimeStampId}, bound= c_uint@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_uint})
40445 @end deffn
40447 @geindex Set_Analysis_Time_Stamp() (in module pyGHDL.libghdl.vhdl.nodes)
40448 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Analysis_Time_Stamp}@anchor{e50}
40449 @deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Analysis_Time_Stamp (obj, value)
40451 @*Return type: 
40452 None@footnote{https://docs.python.org/3.6/library/constants.html#None}
40454 @end deffn
40456 @geindex Get_Design_File_Source() (in module pyGHDL.libghdl.vhdl.nodes)
40457 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Design_File_Source}@anchor{e51}
40458 @deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Design_File_Source (obj)
40460 @*Return type: 
40461 TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{SourceFileEntry}, bound= c_uint@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_uint})
40463 @end deffn
40465 @geindex Set_Design_File_Source() (in module pyGHDL.libghdl.vhdl.nodes)
40466 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Design_File_Source}@anchor{e52}
40467 @deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Design_File_Source (obj, value)
40469 @*Return type: 
40470 None@footnote{https://docs.python.org/3.6/library/constants.html#None}
40472 @end deffn
40474 @geindex Get_Library() (in module pyGHDL.libghdl.vhdl.nodes)
40475 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Library}@anchor{e53}
40476 @deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Library (obj)
40478 @*Return type: 
40479 TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
40481 @end deffn
40483 @geindex Set_Library() (in module pyGHDL.libghdl.vhdl.nodes)
40484 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Library}@anchor{e54}
40485 @deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Library (obj, value)
40487 @*Return type: 
40488 None@footnote{https://docs.python.org/3.6/library/constants.html#None}
40490 @end deffn
40492 @geindex Get_File_Dependence_List() (in module pyGHDL.libghdl.vhdl.nodes)
40493 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_File_Dependence_List}@anchor{e55}
40494 @deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_File_Dependence_List (obj)
40496 @*Return type: 
40497 TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
40499 @end deffn
40501 @geindex Set_File_Dependence_List() (in module pyGHDL.libghdl.vhdl.nodes)
40502 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_File_Dependence_List}@anchor{e56}
40503 @deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_File_Dependence_List (obj, value)
40505 @*Return type: 
40506 None@footnote{https://docs.python.org/3.6/library/constants.html#None}
40508 @end deffn
40510 @geindex Get_Design_File_Filename() (in module pyGHDL.libghdl.vhdl.nodes)
40511 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Design_File_Filename}@anchor{e57}
40512 @deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Design_File_Filename (obj)
40514 @*Return type: 
40515 TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{NameId}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
40517 @end deffn
40519 @geindex Set_Design_File_Filename() (in module pyGHDL.libghdl.vhdl.nodes)
40520 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Design_File_Filename}@anchor{e58}
40521 @deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Design_File_Filename (obj, value)
40523 @*Return type: 
40524 None@footnote{https://docs.python.org/3.6/library/constants.html#None}
40526 @end deffn
40528 @geindex Get_Design_File_Directory() (in module pyGHDL.libghdl.vhdl.nodes)
40529 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Design_File_Directory}@anchor{e59}
40530 @deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Design_File_Directory (obj)
40532 @*Return type: 
40533 TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{NameId}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
40535 @end deffn
40537 @geindex Set_Design_File_Directory() (in module pyGHDL.libghdl.vhdl.nodes)
40538 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Design_File_Directory}@anchor{e5a}
40539 @deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Design_File_Directory (obj, value)
40541 @*Return type: 
40542 None@footnote{https://docs.python.org/3.6/library/constants.html#None}
40544 @end deffn
40546 @geindex Get_Design_File() (in module pyGHDL.libghdl.vhdl.nodes)
40547 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Design_File}@anchor{e5b}
40548 @deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Design_File (obj)
40550 @*Return type: 
40551 TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
40553 @end deffn
40555 @geindex Set_Design_File() (in module pyGHDL.libghdl.vhdl.nodes)
40556 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Design_File}@anchor{e5c}
40557 @deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Design_File (obj, value)
40559 @*Return type: 
40560 None@footnote{https://docs.python.org/3.6/library/constants.html#None}
40562 @end deffn
40564 @geindex Get_Design_File_Chain() (in module pyGHDL.libghdl.vhdl.nodes)
40565 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Design_File_Chain}@anchor{e5d}
40566 @deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Design_File_Chain (obj)
40568 @*Return type: 
40569 TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
40571 @end deffn
40573 @geindex Set_Design_File_Chain() (in module pyGHDL.libghdl.vhdl.nodes)
40574 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Design_File_Chain}@anchor{e5e}
40575 @deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Design_File_Chain (obj, value)
40577 @*Return type: 
40578 None@footnote{https://docs.python.org/3.6/library/constants.html#None}
40580 @end deffn
40582 @geindex Get_Library_Directory() (in module pyGHDL.libghdl.vhdl.nodes)
40583 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Library_Directory}@anchor{e5f}
40584 @deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Library_Directory (obj)
40586 @*Return type: 
40587 TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{NameId}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
40589 @end deffn
40591 @geindex Set_Library_Directory() (in module pyGHDL.libghdl.vhdl.nodes)
40592 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Library_Directory}@anchor{e60}
40593 @deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Library_Directory (obj, value)
40595 @*Return type: 
40596 None@footnote{https://docs.python.org/3.6/library/constants.html#None}
40598 @end deffn
40600 @geindex Get_Date() (in module pyGHDL.libghdl.vhdl.nodes)
40601 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Date}@anchor{e61}
40602 @deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Date (obj)
40604 @*Return type: 
40605 TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{DateType}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
40607 @end deffn
40609 @geindex Set_Date() (in module pyGHDL.libghdl.vhdl.nodes)
40610 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Date}@anchor{e62}
40611 @deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Date (obj, value)
40613 @*Return type: 
40614 None@footnote{https://docs.python.org/3.6/library/constants.html#None}
40616 @end deffn
40618 @geindex Get_Context_Items() (in module pyGHDL.libghdl.vhdl.nodes)
40619 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Context_Items}@anchor{e63}
40620 @deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Context_Items (obj)
40622 @*Return type: 
40623 TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
40625 @end deffn
40627 @geindex Set_Context_Items() (in module pyGHDL.libghdl.vhdl.nodes)
40628 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Context_Items}@anchor{e64}
40629 @deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Context_Items (obj, value)
40631 @*Return type: 
40632 None@footnote{https://docs.python.org/3.6/library/constants.html#None}
40634 @end deffn
40636 @geindex Get_Dependence_List() (in module pyGHDL.libghdl.vhdl.nodes)
40637 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Dependence_List}@anchor{e65}
40638 @deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Dependence_List (obj)
40640 @*Return type: 
40641 TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
40643 @end deffn
40645 @geindex Set_Dependence_List() (in module pyGHDL.libghdl.vhdl.nodes)
40646 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Dependence_List}@anchor{e66}
40647 @deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Dependence_List (obj, value)
40649 @*Return type: 
40650 None@footnote{https://docs.python.org/3.6/library/constants.html#None}
40652 @end deffn
40654 @geindex Get_Analysis_Checks_List() (in module pyGHDL.libghdl.vhdl.nodes)
40655 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Analysis_Checks_List}@anchor{e67}
40656 @deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Analysis_Checks_List (obj)
40658 @*Return type: 
40659 TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
40661 @end deffn
40663 @geindex Set_Analysis_Checks_List() (in module pyGHDL.libghdl.vhdl.nodes)
40664 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Analysis_Checks_List}@anchor{e68}
40665 @deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Analysis_Checks_List (obj, value)
40667 @*Return type: 
40668 None@footnote{https://docs.python.org/3.6/library/constants.html#None}
40670 @end deffn
40672 @geindex Get_Date_State() (in module pyGHDL.libghdl.vhdl.nodes)
40673 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Date_State}@anchor{e69}
40674 @deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Date_State (obj)
40676 @*Return type: 
40677 @ref{e42,,DateStateType}
40679 @end deffn
40681 @geindex Set_Date_State() (in module pyGHDL.libghdl.vhdl.nodes)
40682 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Date_State}@anchor{e6a}
40683 @deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Date_State (obj, value)
40685 @*Return type: 
40686 None@footnote{https://docs.python.org/3.6/library/constants.html#None}
40688 @end deffn
40690 @geindex Get_Guarded_Target_State() (in module pyGHDL.libghdl.vhdl.nodes)
40691 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Guarded_Target_State}@anchor{e6b}
40692 @deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Guarded_Target_State (obj)
40694 @*Return type: 
40695 @ref{a29,,TriStateType}
40697 @end deffn
40699 @geindex Set_Guarded_Target_State() (in module pyGHDL.libghdl.vhdl.nodes)
40700 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Guarded_Target_State}@anchor{e6c}
40701 @deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Guarded_Target_State (obj, value)
40703 @*Return type: 
40704 None@footnote{https://docs.python.org/3.6/library/constants.html#None}
40706 @end deffn
40708 @geindex Get_Library_Unit() (in module pyGHDL.libghdl.vhdl.nodes)
40709 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Library_Unit}@anchor{e6d}
40710 @deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Library_Unit (obj)
40712 @*Return type: 
40713 TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
40715 @end deffn
40717 @geindex Set_Library_Unit() (in module pyGHDL.libghdl.vhdl.nodes)
40718 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Library_Unit}@anchor{e6e}
40719 @deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Library_Unit (obj, value)
40721 @*Return type: 
40722 None@footnote{https://docs.python.org/3.6/library/constants.html#None}
40724 @end deffn
40726 @geindex Get_Hash_Chain() (in module pyGHDL.libghdl.vhdl.nodes)
40727 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Hash_Chain}@anchor{e6f}
40728 @deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Hash_Chain (obj)
40730 @*Return type: 
40731 TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
40733 @end deffn
40735 @geindex Set_Hash_Chain() (in module pyGHDL.libghdl.vhdl.nodes)
40736 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Hash_Chain}@anchor{e70}
40737 @deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Hash_Chain (obj, value)
40739 @*Return type: 
40740 None@footnote{https://docs.python.org/3.6/library/constants.html#None}
40742 @end deffn
40744 @geindex Get_Design_Unit_Source_Pos() (in module pyGHDL.libghdl.vhdl.nodes)
40745 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Design_Unit_Source_Pos}@anchor{e71}
40746 @deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Design_Unit_Source_Pos (obj)
40748 @*Return type: 
40749 TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{SourcePtr}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
40751 @end deffn
40753 @geindex Set_Design_Unit_Source_Pos() (in module pyGHDL.libghdl.vhdl.nodes)
40754 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Design_Unit_Source_Pos}@anchor{e72}
40755 @deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Design_Unit_Source_Pos (obj, value)
40757 @*Return type: 
40758 None@footnote{https://docs.python.org/3.6/library/constants.html#None}
40760 @end deffn
40762 @geindex Get_Design_Unit_Source_Line() (in module pyGHDL.libghdl.vhdl.nodes)
40763 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Design_Unit_Source_Line}@anchor{e73}
40764 @deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Design_Unit_Source_Line (obj)
40766 @*Return type: 
40767 TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Int32}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
40769 @end deffn
40771 @geindex Set_Design_Unit_Source_Line() (in module pyGHDL.libghdl.vhdl.nodes)
40772 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Design_Unit_Source_Line}@anchor{e74}
40773 @deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Design_Unit_Source_Line (obj, value)
40775 @*Return type: 
40776 None@footnote{https://docs.python.org/3.6/library/constants.html#None}
40778 @end deffn
40780 @geindex Get_Design_Unit_Source_Col() (in module pyGHDL.libghdl.vhdl.nodes)
40781 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Design_Unit_Source_Col}@anchor{e75}
40782 @deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Design_Unit_Source_Col (obj)
40784 @*Return type: 
40785 TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Int32}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
40787 @end deffn
40789 @geindex Set_Design_Unit_Source_Col() (in module pyGHDL.libghdl.vhdl.nodes)
40790 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Design_Unit_Source_Col}@anchor{e76}
40791 @deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Design_Unit_Source_Col (obj, value)
40793 @*Return type: 
40794 None@footnote{https://docs.python.org/3.6/library/constants.html#None}
40796 @end deffn
40798 @geindex Get_Value() (in module pyGHDL.libghdl.vhdl.nodes)
40799 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Value}@anchor{e77}
40800 @deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Value (obj)
40802 @*Return type: 
40803 TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Int64}, bound= c_long@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_long})
40805 @end deffn
40807 @geindex Set_Value() (in module pyGHDL.libghdl.vhdl.nodes)
40808 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Value}@anchor{e78}
40809 @deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Value (obj, value)
40811 @*Return type: 
40812 None@footnote{https://docs.python.org/3.6/library/constants.html#None}
40814 @end deffn
40816 @geindex Get_Enum_Pos() (in module pyGHDL.libghdl.vhdl.nodes)
40817 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Enum_Pos}@anchor{e79}
40818 @deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Enum_Pos (obj)
40820 @*Return type: 
40821 TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
40823 @end deffn
40825 @geindex Set_Enum_Pos() (in module pyGHDL.libghdl.vhdl.nodes)
40826 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Enum_Pos}@anchor{e7a}
40827 @deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Enum_Pos (obj, value)
40829 @*Return type: 
40830 None@footnote{https://docs.python.org/3.6/library/constants.html#None}
40832 @end deffn
40834 @geindex Get_Physical_Literal() (in module pyGHDL.libghdl.vhdl.nodes)
40835 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Physical_Literal}@anchor{e7b}
40836 @deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Physical_Literal (obj)
40838 @*Return type: 
40839 TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
40841 @end deffn
40843 @geindex Set_Physical_Literal() (in module pyGHDL.libghdl.vhdl.nodes)
40844 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Physical_Literal}@anchor{e7c}
40845 @deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Physical_Literal (obj, value)
40847 @*Return type: 
40848 None@footnote{https://docs.python.org/3.6/library/constants.html#None}
40850 @end deffn
40852 @geindex Get_Fp_Value() (in module pyGHDL.libghdl.vhdl.nodes)
40853 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Fp_Value}@anchor{e7d}
40854 @deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Fp_Value (obj)
40856 @*Return type: 
40857 TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Fp64}, bound= c_double@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_double})
40859 @end deffn
40861 @geindex Set_Fp_Value() (in module pyGHDL.libghdl.vhdl.nodes)
40862 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Fp_Value}@anchor{e7e}
40863 @deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Fp_Value (obj, value)
40865 @*Return type: 
40866 None@footnote{https://docs.python.org/3.6/library/constants.html#None}
40868 @end deffn
40870 @geindex Get_Simple_Aggregate_List() (in module pyGHDL.libghdl.vhdl.nodes)
40871 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Simple_Aggregate_List}@anchor{e7f}
40872 @deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Simple_Aggregate_List (obj)
40874 @*Return type: 
40875 TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
40877 @end deffn
40879 @geindex Set_Simple_Aggregate_List() (in module pyGHDL.libghdl.vhdl.nodes)
40880 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Simple_Aggregate_List}@anchor{e80}
40881 @deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Simple_Aggregate_List (obj, value)
40883 @*Return type: 
40884 None@footnote{https://docs.python.org/3.6/library/constants.html#None}
40886 @end deffn
40888 @geindex Get_String8_Id() (in module pyGHDL.libghdl.vhdl.nodes)
40889 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_String8_Id}@anchor{e81}
40890 @deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_String8_Id (obj)
40892 @*Return type: 
40893 TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{String8Id}, bound= c_uint@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_uint})
40895 @end deffn
40897 @geindex Set_String8_Id() (in module pyGHDL.libghdl.vhdl.nodes)
40898 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_String8_Id}@anchor{e82}
40899 @deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_String8_Id (obj, value)
40901 @*Return type: 
40902 None@footnote{https://docs.python.org/3.6/library/constants.html#None}
40904 @end deffn
40906 @geindex Get_String_Length() (in module pyGHDL.libghdl.vhdl.nodes)
40907 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_String_Length}@anchor{e83}
40908 @deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_String_Length (obj)
40910 @*Return type: 
40911 TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Int32}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
40913 @end deffn
40915 @geindex Set_String_Length() (in module pyGHDL.libghdl.vhdl.nodes)
40916 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_String_Length}@anchor{e84}
40917 @deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_String_Length (obj, value)
40919 @*Return type: 
40920 None@footnote{https://docs.python.org/3.6/library/constants.html#None}
40922 @end deffn
40924 @geindex Get_Bit_String_Base() (in module pyGHDL.libghdl.vhdl.nodes)
40925 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Bit_String_Base}@anchor{e85}
40926 @deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Bit_String_Base (obj)
40928 @*Return type: 
40929 @ref{e43,,NumberBaseType}
40931 @end deffn
40933 @geindex Set_Bit_String_Base() (in module pyGHDL.libghdl.vhdl.nodes)
40934 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Bit_String_Base}@anchor{e86}
40935 @deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Bit_String_Base (obj, value)
40937 @*Return type: 
40938 None@footnote{https://docs.python.org/3.6/library/constants.html#None}
40940 @end deffn
40942 @geindex Get_Has_Signed() (in module pyGHDL.libghdl.vhdl.nodes)
40943 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Has_Signed}@anchor{e87}
40944 @deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Has_Signed (obj)
40946 @*Return type: 
40947 TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Boolean}, bound= c_bool@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_bool})
40949 @end deffn
40951 @geindex Set_Has_Signed() (in module pyGHDL.libghdl.vhdl.nodes)
40952 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Has_Signed}@anchor{e88}
40953 @deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Has_Signed (obj, value)
40955 @*Return type: 
40956 None@footnote{https://docs.python.org/3.6/library/constants.html#None}
40958 @end deffn
40960 @geindex Get_Has_Sign() (in module pyGHDL.libghdl.vhdl.nodes)
40961 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Has_Sign}@anchor{e89}
40962 @deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Has_Sign (obj)
40964 @*Return type: 
40965 TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Boolean}, bound= c_bool@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_bool})
40967 @end deffn
40969 @geindex Set_Has_Sign() (in module pyGHDL.libghdl.vhdl.nodes)
40970 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Has_Sign}@anchor{e8a}
40971 @deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Has_Sign (obj, value)
40973 @*Return type: 
40974 None@footnote{https://docs.python.org/3.6/library/constants.html#None}
40976 @end deffn
40978 @geindex Get_Has_Length() (in module pyGHDL.libghdl.vhdl.nodes)
40979 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Has_Length}@anchor{e8b}
40980 @deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Has_Length (obj)
40982 @*Return type: 
40983 TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Boolean}, bound= c_bool@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_bool})
40985 @end deffn
40987 @geindex Set_Has_Length() (in module pyGHDL.libghdl.vhdl.nodes)
40988 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Has_Length}@anchor{e8c}
40989 @deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Has_Length (obj, value)
40991 @*Return type: 
40992 None@footnote{https://docs.python.org/3.6/library/constants.html#None}
40994 @end deffn
40996 @geindex Get_Literal_Length() (in module pyGHDL.libghdl.vhdl.nodes)
40997 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Literal_Length}@anchor{e8d}
40998 @deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Literal_Length (obj)
41000 @*Return type: 
41001 TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Int32}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
41003 @end deffn
41005 @geindex Set_Literal_Length() (in module pyGHDL.libghdl.vhdl.nodes)
41006 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Literal_Length}@anchor{e8e}
41007 @deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Literal_Length (obj, value)
41009 @*Return type: 
41010 None@footnote{https://docs.python.org/3.6/library/constants.html#None}
41012 @end deffn
41014 @geindex Get_Literal_Origin() (in module pyGHDL.libghdl.vhdl.nodes)
41015 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Literal_Origin}@anchor{e8f}
41016 @deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Literal_Origin (obj)
41018 @*Return type: 
41019 TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
41021 @end deffn
41023 @geindex Set_Literal_Origin() (in module pyGHDL.libghdl.vhdl.nodes)
41024 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Literal_Origin}@anchor{e90}
41025 @deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Literal_Origin (obj, value)
41027 @*Return type: 
41028 None@footnote{https://docs.python.org/3.6/library/constants.html#None}
41030 @end deffn
41032 @geindex Get_Range_Origin() (in module pyGHDL.libghdl.vhdl.nodes)
41033 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Range_Origin}@anchor{e91}
41034 @deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Range_Origin (obj)
41036 @*Return type: 
41037 TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
41039 @end deffn
41041 @geindex Set_Range_Origin() (in module pyGHDL.libghdl.vhdl.nodes)
41042 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Range_Origin}@anchor{e92}
41043 @deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Range_Origin (obj, value)
41045 @*Return type: 
41046 None@footnote{https://docs.python.org/3.6/library/constants.html#None}
41048 @end deffn
41050 @geindex Get_Literal_Subtype() (in module pyGHDL.libghdl.vhdl.nodes)
41051 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Literal_Subtype}@anchor{e93}
41052 @deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Literal_Subtype (obj)
41054 @*Return type: 
41055 TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
41057 @end deffn
41059 @geindex Set_Literal_Subtype() (in module pyGHDL.libghdl.vhdl.nodes)
41060 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Literal_Subtype}@anchor{e94}
41061 @deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Literal_Subtype (obj, value)
41063 @*Return type: 
41064 None@footnote{https://docs.python.org/3.6/library/constants.html#None}
41066 @end deffn
41068 @geindex Get_Allocator_Subtype() (in module pyGHDL.libghdl.vhdl.nodes)
41069 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Allocator_Subtype}@anchor{e95}
41070 @deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Allocator_Subtype (obj)
41072 @*Return type: 
41073 TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
41075 @end deffn
41077 @geindex Set_Allocator_Subtype() (in module pyGHDL.libghdl.vhdl.nodes)
41078 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Allocator_Subtype}@anchor{e96}
41079 @deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Allocator_Subtype (obj, value)
41081 @*Return type: 
41082 None@footnote{https://docs.python.org/3.6/library/constants.html#None}
41084 @end deffn
41086 @geindex Get_Entity_Class() (in module pyGHDL.libghdl.vhdl.nodes)
41087 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Entity_Class}@anchor{e97}
41088 @deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Entity_Class (obj)
41090 @*Return type: 
41091 @ref{156c,,Tok}
41093 @end deffn
41095 @geindex Set_Entity_Class() (in module pyGHDL.libghdl.vhdl.nodes)
41096 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Entity_Class}@anchor{e98}
41097 @deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Entity_Class (obj, value)
41099 @*Return type: 
41100 None@footnote{https://docs.python.org/3.6/library/constants.html#None}
41102 @end deffn
41104 @geindex Get_Entity_Name_List() (in module pyGHDL.libghdl.vhdl.nodes)
41105 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Entity_Name_List}@anchor{e99}
41106 @deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Entity_Name_List (obj)
41108 @*Return type: 
41109 TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
41111 @end deffn
41113 @geindex Set_Entity_Name_List() (in module pyGHDL.libghdl.vhdl.nodes)
41114 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Entity_Name_List}@anchor{e9a}
41115 @deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Entity_Name_List (obj, value)
41117 @*Return type: 
41118 None@footnote{https://docs.python.org/3.6/library/constants.html#None}
41120 @end deffn
41122 @geindex Get_Attribute_Designator() (in module pyGHDL.libghdl.vhdl.nodes)
41123 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Attribute_Designator}@anchor{e9b}
41124 @deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Attribute_Designator (obj)
41126 @*Return type: 
41127 TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
41129 @end deffn
41131 @geindex Set_Attribute_Designator() (in module pyGHDL.libghdl.vhdl.nodes)
41132 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Attribute_Designator}@anchor{e9c}
41133 @deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Attribute_Designator (obj, value)
41135 @*Return type: 
41136 None@footnote{https://docs.python.org/3.6/library/constants.html#None}
41138 @end deffn
41140 @geindex Get_Attribute_Specification_Chain() (in module pyGHDL.libghdl.vhdl.nodes)
41141 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Attribute_Specification_Chain}@anchor{e9d}
41142 @deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Attribute_Specification_Chain (obj)
41144 @*Return type: 
41145 TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
41147 @end deffn
41149 @geindex Set_Attribute_Specification_Chain() (in module pyGHDL.libghdl.vhdl.nodes)
41150 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Attribute_Specification_Chain}@anchor{e9e}
41151 @deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Attribute_Specification_Chain (obj, value)
41153 @*Return type: 
41154 None@footnote{https://docs.python.org/3.6/library/constants.html#None}
41156 @end deffn
41158 @geindex Get_Attribute_Specification() (in module pyGHDL.libghdl.vhdl.nodes)
41159 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Attribute_Specification}@anchor{e9f}
41160 @deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Attribute_Specification (obj)
41162 @*Return type: 
41163 TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
41165 @end deffn
41167 @geindex Set_Attribute_Specification() (in module pyGHDL.libghdl.vhdl.nodes)
41168 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Attribute_Specification}@anchor{ea0}
41169 @deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Attribute_Specification (obj, value)
41171 @*Return type: 
41172 None@footnote{https://docs.python.org/3.6/library/constants.html#None}
41174 @end deffn
41176 @geindex Get_Static_Attribute_Flag() (in module pyGHDL.libghdl.vhdl.nodes)
41177 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Static_Attribute_Flag}@anchor{ea1}
41178 @deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Static_Attribute_Flag (obj)
41180 @*Return type: 
41181 TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Boolean}, bound= c_bool@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_bool})
41183 @end deffn
41185 @geindex Set_Static_Attribute_Flag() (in module pyGHDL.libghdl.vhdl.nodes)
41186 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Static_Attribute_Flag}@anchor{ea2}
41187 @deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Static_Attribute_Flag (obj, value)
41189 @*Return type: 
41190 None@footnote{https://docs.python.org/3.6/library/constants.html#None}
41192 @end deffn
41194 @geindex Get_Signal_List() (in module pyGHDL.libghdl.vhdl.nodes)
41195 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Signal_List}@anchor{ea3}
41196 @deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Signal_List (obj)
41198 @*Return type: 
41199 TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
41201 @end deffn
41203 @geindex Set_Signal_List() (in module pyGHDL.libghdl.vhdl.nodes)
41204 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Signal_List}@anchor{ea4}
41205 @deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Signal_List (obj, value)
41207 @*Return type: 
41208 None@footnote{https://docs.python.org/3.6/library/constants.html#None}
41210 @end deffn
41212 @geindex Get_Quantity_List() (in module pyGHDL.libghdl.vhdl.nodes)
41213 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Quantity_List}@anchor{ea5}
41214 @deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Quantity_List (obj)
41216 @*Return type: 
41217 TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
41219 @end deffn
41221 @geindex Set_Quantity_List() (in module pyGHDL.libghdl.vhdl.nodes)
41222 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Quantity_List}@anchor{ea6}
41223 @deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Quantity_List (obj, value)
41225 @*Return type: 
41226 None@footnote{https://docs.python.org/3.6/library/constants.html#None}
41228 @end deffn
41230 @geindex Get_Designated_Entity() (in module pyGHDL.libghdl.vhdl.nodes)
41231 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Designated_Entity}@anchor{ea7}
41232 @deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Designated_Entity (obj)
41234 @*Return type: 
41235 TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
41237 @end deffn
41239 @geindex Set_Designated_Entity() (in module pyGHDL.libghdl.vhdl.nodes)
41240 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Designated_Entity}@anchor{ea8}
41241 @deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Designated_Entity (obj, value)
41243 @*Return type: 
41244 None@footnote{https://docs.python.org/3.6/library/constants.html#None}
41246 @end deffn
41248 @geindex Get_Formal() (in module pyGHDL.libghdl.vhdl.nodes)
41249 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Formal}@anchor{ea9}
41250 @deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Formal (obj)
41252 @*Return type: 
41253 TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
41255 @end deffn
41257 @geindex Set_Formal() (in module pyGHDL.libghdl.vhdl.nodes)
41258 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Formal}@anchor{eaa}
41259 @deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Formal (obj, value)
41261 @*Return type: 
41262 None@footnote{https://docs.python.org/3.6/library/constants.html#None}
41264 @end deffn
41266 @geindex Get_Actual() (in module pyGHDL.libghdl.vhdl.nodes)
41267 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Actual}@anchor{eab}
41268 @deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Actual (obj)
41270 @*Return type: 
41271 TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
41273 @end deffn
41275 @geindex Set_Actual() (in module pyGHDL.libghdl.vhdl.nodes)
41276 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Actual}@anchor{eac}
41277 @deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Actual (obj, value)
41279 @*Return type: 
41280 None@footnote{https://docs.python.org/3.6/library/constants.html#None}
41282 @end deffn
41284 @geindex Get_Actual_Conversion() (in module pyGHDL.libghdl.vhdl.nodes)
41285 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Actual_Conversion}@anchor{ead}
41286 @deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Actual_Conversion (obj)
41288 @*Return type: 
41289 TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
41291 @end deffn
41293 @geindex Set_Actual_Conversion() (in module pyGHDL.libghdl.vhdl.nodes)
41294 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Actual_Conversion}@anchor{eae}
41295 @deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Actual_Conversion (obj, value)
41297 @*Return type: 
41298 None@footnote{https://docs.python.org/3.6/library/constants.html#None}
41300 @end deffn
41302 @geindex Get_Formal_Conversion() (in module pyGHDL.libghdl.vhdl.nodes)
41303 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Formal_Conversion}@anchor{eaf}
41304 @deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Formal_Conversion (obj)
41306 @*Return type: 
41307 TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
41309 @end deffn
41311 @geindex Set_Formal_Conversion() (in module pyGHDL.libghdl.vhdl.nodes)
41312 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Formal_Conversion}@anchor{eb0}
41313 @deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Formal_Conversion (obj, value)
41315 @*Return type: 
41316 None@footnote{https://docs.python.org/3.6/library/constants.html#None}
41318 @end deffn
41320 @geindex Get_Whole_Association_Flag() (in module pyGHDL.libghdl.vhdl.nodes)
41321 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Whole_Association_Flag}@anchor{eb1}
41322 @deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Whole_Association_Flag (obj)
41324 @*Return type: 
41325 TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Boolean}, bound= c_bool@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_bool})
41327 @end deffn
41329 @geindex Set_Whole_Association_Flag() (in module pyGHDL.libghdl.vhdl.nodes)
41330 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Whole_Association_Flag}@anchor{eb2}
41331 @deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Whole_Association_Flag (obj, value)
41333 @*Return type: 
41334 None@footnote{https://docs.python.org/3.6/library/constants.html#None}
41336 @end deffn
41338 @geindex Get_Collapse_Signal_Flag() (in module pyGHDL.libghdl.vhdl.nodes)
41339 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Collapse_Signal_Flag}@anchor{eb3}
41340 @deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Collapse_Signal_Flag (obj)
41342 @*Return type: 
41343 TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Boolean}, bound= c_bool@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_bool})
41345 @end deffn
41347 @geindex Set_Collapse_Signal_Flag() (in module pyGHDL.libghdl.vhdl.nodes)
41348 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Collapse_Signal_Flag}@anchor{eb4}
41349 @deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Collapse_Signal_Flag (obj, value)
41351 @*Return type: 
41352 None@footnote{https://docs.python.org/3.6/library/constants.html#None}
41354 @end deffn
41356 @geindex Get_Artificial_Flag() (in module pyGHDL.libghdl.vhdl.nodes)
41357 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Artificial_Flag}@anchor{eb5}
41358 @deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Artificial_Flag (obj)
41360 @*Return type: 
41361 TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Boolean}, bound= c_bool@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_bool})
41363 @end deffn
41365 @geindex Set_Artificial_Flag() (in module pyGHDL.libghdl.vhdl.nodes)
41366 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Artificial_Flag}@anchor{eb6}
41367 @deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Artificial_Flag (obj, value)
41369 @*Return type: 
41370 None@footnote{https://docs.python.org/3.6/library/constants.html#None}
41372 @end deffn
41374 @geindex Get_Open_Flag() (in module pyGHDL.libghdl.vhdl.nodes)
41375 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Open_Flag}@anchor{eb7}
41376 @deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Open_Flag (obj)
41378 @*Return type: 
41379 TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Boolean}, bound= c_bool@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_bool})
41381 @end deffn
41383 @geindex Set_Open_Flag() (in module pyGHDL.libghdl.vhdl.nodes)
41384 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Open_Flag}@anchor{eb8}
41385 @deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Open_Flag (obj, value)
41387 @*Return type: 
41388 None@footnote{https://docs.python.org/3.6/library/constants.html#None}
41390 @end deffn
41392 @geindex Get_After_Drivers_Flag() (in module pyGHDL.libghdl.vhdl.nodes)
41393 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_After_Drivers_Flag}@anchor{eb9}
41394 @deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_After_Drivers_Flag (obj)
41396 @*Return type: 
41397 TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Boolean}, bound= c_bool@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_bool})
41399 @end deffn
41401 @geindex Set_After_Drivers_Flag() (in module pyGHDL.libghdl.vhdl.nodes)
41402 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_After_Drivers_Flag}@anchor{eba}
41403 @deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_After_Drivers_Flag (obj, value)
41405 @*Return type: 
41406 None@footnote{https://docs.python.org/3.6/library/constants.html#None}
41408 @end deffn
41410 @geindex Get_We_Value() (in module pyGHDL.libghdl.vhdl.nodes)
41411 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_We_Value}@anchor{ebb}
41412 @deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_We_Value (obj)
41414 @*Return type: 
41415 TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
41417 @end deffn
41419 @geindex Set_We_Value() (in module pyGHDL.libghdl.vhdl.nodes)
41420 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_We_Value}@anchor{ebc}
41421 @deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_We_Value (obj, value)
41423 @*Return type: 
41424 None@footnote{https://docs.python.org/3.6/library/constants.html#None}
41426 @end deffn
41428 @geindex Get_Time() (in module pyGHDL.libghdl.vhdl.nodes)
41429 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Time}@anchor{ebd}
41430 @deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Time (obj)
41432 @*Return type: 
41433 TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
41435 @end deffn
41437 @geindex Set_Time() (in module pyGHDL.libghdl.vhdl.nodes)
41438 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Time}@anchor{ebe}
41439 @deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Time (obj, value)
41441 @*Return type: 
41442 None@footnote{https://docs.python.org/3.6/library/constants.html#None}
41444 @end deffn
41446 @geindex Get_Associated_Expr() (in module pyGHDL.libghdl.vhdl.nodes)
41447 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Associated_Expr}@anchor{ebf}
41448 @deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Associated_Expr (obj)
41450 @*Return type: 
41451 TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
41453 @end deffn
41455 @geindex Set_Associated_Expr() (in module pyGHDL.libghdl.vhdl.nodes)
41456 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Associated_Expr}@anchor{ec0}
41457 @deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Associated_Expr (obj, value)
41459 @*Return type: 
41460 None@footnote{https://docs.python.org/3.6/library/constants.html#None}
41462 @end deffn
41464 @geindex Get_Associated_Block() (in module pyGHDL.libghdl.vhdl.nodes)
41465 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Associated_Block}@anchor{ec1}
41466 @deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Associated_Block (obj)
41468 @*Return type: 
41469 TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
41471 @end deffn
41473 @geindex Set_Associated_Block() (in module pyGHDL.libghdl.vhdl.nodes)
41474 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Associated_Block}@anchor{ec2}
41475 @deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Associated_Block (obj, value)
41477 @*Return type: 
41478 None@footnote{https://docs.python.org/3.6/library/constants.html#None}
41480 @end deffn
41482 @geindex Get_Associated_Chain() (in module pyGHDL.libghdl.vhdl.nodes)
41483 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Associated_Chain}@anchor{ec3}
41484 @deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Associated_Chain (obj)
41486 @*Return type: 
41487 TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
41489 @end deffn
41491 @geindex Set_Associated_Chain() (in module pyGHDL.libghdl.vhdl.nodes)
41492 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Associated_Chain}@anchor{ec4}
41493 @deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Associated_Chain (obj, value)
41495 @*Return type: 
41496 None@footnote{https://docs.python.org/3.6/library/constants.html#None}
41498 @end deffn
41500 @geindex Get_Choice_Name() (in module pyGHDL.libghdl.vhdl.nodes)
41501 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Choice_Name}@anchor{ec5}
41502 @deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Choice_Name (obj)
41504 @*Return type: 
41505 TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
41507 @end deffn
41509 @geindex Set_Choice_Name() (in module pyGHDL.libghdl.vhdl.nodes)
41510 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Choice_Name}@anchor{ec6}
41511 @deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Choice_Name (obj, value)
41513 @*Return type: 
41514 None@footnote{https://docs.python.org/3.6/library/constants.html#None}
41516 @end deffn
41518 @geindex Get_Choice_Expression() (in module pyGHDL.libghdl.vhdl.nodes)
41519 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Choice_Expression}@anchor{ec7}
41520 @deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Choice_Expression (obj)
41522 @*Return type: 
41523 TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
41525 @end deffn
41527 @geindex Set_Choice_Expression() (in module pyGHDL.libghdl.vhdl.nodes)
41528 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Choice_Expression}@anchor{ec8}
41529 @deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Choice_Expression (obj, value)
41531 @*Return type: 
41532 None@footnote{https://docs.python.org/3.6/library/constants.html#None}
41534 @end deffn
41536 @geindex Get_Choice_Range() (in module pyGHDL.libghdl.vhdl.nodes)
41537 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Choice_Range}@anchor{ec9}
41538 @deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Choice_Range (obj)
41540 @*Return type: 
41541 TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
41543 @end deffn
41545 @geindex Set_Choice_Range() (in module pyGHDL.libghdl.vhdl.nodes)
41546 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Choice_Range}@anchor{eca}
41547 @deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Choice_Range (obj, value)
41549 @*Return type: 
41550 None@footnote{https://docs.python.org/3.6/library/constants.html#None}
41552 @end deffn
41554 @geindex Get_Same_Alternative_Flag() (in module pyGHDL.libghdl.vhdl.nodes)
41555 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Same_Alternative_Flag}@anchor{ecb}
41556 @deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Same_Alternative_Flag (obj)
41558 @*Return type: 
41559 TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Boolean}, bound= c_bool@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_bool})
41561 @end deffn
41563 @geindex Set_Same_Alternative_Flag() (in module pyGHDL.libghdl.vhdl.nodes)
41564 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Same_Alternative_Flag}@anchor{ecc}
41565 @deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Same_Alternative_Flag (obj, value)
41567 @*Return type: 
41568 None@footnote{https://docs.python.org/3.6/library/constants.html#None}
41570 @end deffn
41572 @geindex Get_Element_Type_Flag() (in module pyGHDL.libghdl.vhdl.nodes)
41573 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Element_Type_Flag}@anchor{ecd}
41574 @deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Element_Type_Flag (obj)
41576 @*Return type: 
41577 TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Boolean}, bound= c_bool@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_bool})
41579 @end deffn
41581 @geindex Set_Element_Type_Flag() (in module pyGHDL.libghdl.vhdl.nodes)
41582 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Element_Type_Flag}@anchor{ece}
41583 @deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Element_Type_Flag (obj, value)
41585 @*Return type: 
41586 None@footnote{https://docs.python.org/3.6/library/constants.html#None}
41588 @end deffn
41590 @geindex Get_Architecture() (in module pyGHDL.libghdl.vhdl.nodes)
41591 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Architecture}@anchor{ecf}
41592 @deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Architecture (obj)
41594 @*Return type: 
41595 TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
41597 @end deffn
41599 @geindex Set_Architecture() (in module pyGHDL.libghdl.vhdl.nodes)
41600 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Architecture}@anchor{ed0}
41601 @deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Architecture (obj, value)
41603 @*Return type: 
41604 None@footnote{https://docs.python.org/3.6/library/constants.html#None}
41606 @end deffn
41608 @geindex Get_Block_Specification() (in module pyGHDL.libghdl.vhdl.nodes)
41609 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Block_Specification}@anchor{ed1}
41610 @deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Block_Specification (obj)
41612 @*Return type: 
41613 TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
41615 @end deffn
41617 @geindex Set_Block_Specification() (in module pyGHDL.libghdl.vhdl.nodes)
41618 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Block_Specification}@anchor{ed2}
41619 @deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Block_Specification (obj, value)
41621 @*Return type: 
41622 None@footnote{https://docs.python.org/3.6/library/constants.html#None}
41624 @end deffn
41626 @geindex Get_Prev_Block_Configuration() (in module pyGHDL.libghdl.vhdl.nodes)
41627 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Prev_Block_Configuration}@anchor{ed3}
41628 @deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Prev_Block_Configuration (obj)
41630 @*Return type: 
41631 TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
41633 @end deffn
41635 @geindex Set_Prev_Block_Configuration() (in module pyGHDL.libghdl.vhdl.nodes)
41636 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Prev_Block_Configuration}@anchor{ed4}
41637 @deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Prev_Block_Configuration (obj, value)
41639 @*Return type: 
41640 None@footnote{https://docs.python.org/3.6/library/constants.html#None}
41642 @end deffn
41644 @geindex Get_Configuration_Item_Chain() (in module pyGHDL.libghdl.vhdl.nodes)
41645 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Configuration_Item_Chain}@anchor{ed5}
41646 @deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Configuration_Item_Chain (obj)
41648 @*Return type: 
41649 TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
41651 @end deffn
41653 @geindex Set_Configuration_Item_Chain() (in module pyGHDL.libghdl.vhdl.nodes)
41654 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Configuration_Item_Chain}@anchor{ed6}
41655 @deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Configuration_Item_Chain (obj, value)
41657 @*Return type: 
41658 None@footnote{https://docs.python.org/3.6/library/constants.html#None}
41660 @end deffn
41662 @geindex Get_Attribute_Value_Chain() (in module pyGHDL.libghdl.vhdl.nodes)
41663 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Attribute_Value_Chain}@anchor{ed7}
41664 @deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Attribute_Value_Chain (obj)
41666 @*Return type: 
41667 TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
41669 @end deffn
41671 @geindex Set_Attribute_Value_Chain() (in module pyGHDL.libghdl.vhdl.nodes)
41672 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Attribute_Value_Chain}@anchor{ed8}
41673 @deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Attribute_Value_Chain (obj, value)
41675 @*Return type: 
41676 None@footnote{https://docs.python.org/3.6/library/constants.html#None}
41678 @end deffn
41680 @geindex Get_Spec_Chain() (in module pyGHDL.libghdl.vhdl.nodes)
41681 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Spec_Chain}@anchor{ed9}
41682 @deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Spec_Chain (obj)
41684 @*Return type: 
41685 TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
41687 @end deffn
41689 @geindex Set_Spec_Chain() (in module pyGHDL.libghdl.vhdl.nodes)
41690 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Spec_Chain}@anchor{eda}
41691 @deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Spec_Chain (obj, value)
41693 @*Return type: 
41694 None@footnote{https://docs.python.org/3.6/library/constants.html#None}
41696 @end deffn
41698 @geindex Get_Value_Chain() (in module pyGHDL.libghdl.vhdl.nodes)
41699 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Value_Chain}@anchor{edb}
41700 @deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Value_Chain (obj)
41702 @*Return type: 
41703 TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
41705 @end deffn
41707 @geindex Set_Value_Chain() (in module pyGHDL.libghdl.vhdl.nodes)
41708 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Value_Chain}@anchor{edc}
41709 @deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Value_Chain (obj, value)
41711 @*Return type: 
41712 None@footnote{https://docs.python.org/3.6/library/constants.html#None}
41714 @end deffn
41716 @geindex Get_Attribute_Value_Spec_Chain() (in module pyGHDL.libghdl.vhdl.nodes)
41717 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Attribute_Value_Spec_Chain}@anchor{edd}
41718 @deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Attribute_Value_Spec_Chain (obj)
41720 @*Return type: 
41721 TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
41723 @end deffn
41725 @geindex Set_Attribute_Value_Spec_Chain() (in module pyGHDL.libghdl.vhdl.nodes)
41726 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Attribute_Value_Spec_Chain}@anchor{ede}
41727 @deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Attribute_Value_Spec_Chain (obj, value)
41729 @*Return type: 
41730 None@footnote{https://docs.python.org/3.6/library/constants.html#None}
41732 @end deffn
41734 @geindex Get_Entity_Name() (in module pyGHDL.libghdl.vhdl.nodes)
41735 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Entity_Name}@anchor{edf}
41736 @deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Entity_Name (obj)
41738 @*Return type: 
41739 TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
41741 @end deffn
41743 @geindex Set_Entity_Name() (in module pyGHDL.libghdl.vhdl.nodes)
41744 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Entity_Name}@anchor{ee0}
41745 @deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Entity_Name (obj, value)
41747 @*Return type: 
41748 None@footnote{https://docs.python.org/3.6/library/constants.html#None}
41750 @end deffn
41752 @geindex Get_Package() (in module pyGHDL.libghdl.vhdl.nodes)
41753 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Package}@anchor{ee1}
41754 @deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Package (obj)
41756 @*Return type: 
41757 TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
41759 @end deffn
41761 @geindex Set_Package() (in module pyGHDL.libghdl.vhdl.nodes)
41762 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Package}@anchor{ee2}
41763 @deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Package (obj, value)
41765 @*Return type: 
41766 None@footnote{https://docs.python.org/3.6/library/constants.html#None}
41768 @end deffn
41770 @geindex Get_Package_Body() (in module pyGHDL.libghdl.vhdl.nodes)
41771 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Package_Body}@anchor{ee3}
41772 @deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Package_Body (obj)
41774 @*Return type: 
41775 TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
41777 @end deffn
41779 @geindex Set_Package_Body() (in module pyGHDL.libghdl.vhdl.nodes)
41780 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Package_Body}@anchor{ee4}
41781 @deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Package_Body (obj, value)
41783 @*Return type: 
41784 None@footnote{https://docs.python.org/3.6/library/constants.html#None}
41786 @end deffn
41788 @geindex Get_Instance_Package_Body() (in module pyGHDL.libghdl.vhdl.nodes)
41789 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Instance_Package_Body}@anchor{ee5}
41790 @deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Instance_Package_Body (obj)
41792 @*Return type: 
41793 TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
41795 @end deffn
41797 @geindex Set_Instance_Package_Body() (in module pyGHDL.libghdl.vhdl.nodes)
41798 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Instance_Package_Body}@anchor{ee6}
41799 @deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Instance_Package_Body (obj, value)
41801 @*Return type: 
41802 None@footnote{https://docs.python.org/3.6/library/constants.html#None}
41804 @end deffn
41806 @geindex Get_Need_Body() (in module pyGHDL.libghdl.vhdl.nodes)
41807 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Need_Body}@anchor{ee7}
41808 @deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Need_Body (obj)
41810 @*Return type: 
41811 TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Boolean}, bound= c_bool@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_bool})
41813 @end deffn
41815 @geindex Set_Need_Body() (in module pyGHDL.libghdl.vhdl.nodes)
41816 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Need_Body}@anchor{ee8}
41817 @deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Need_Body (obj, value)
41819 @*Return type: 
41820 None@footnote{https://docs.python.org/3.6/library/constants.html#None}
41822 @end deffn
41824 @geindex Get_Macro_Expanded_Flag() (in module pyGHDL.libghdl.vhdl.nodes)
41825 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Macro_Expanded_Flag}@anchor{ee9}
41826 @deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Macro_Expanded_Flag (obj)
41828 @*Return type: 
41829 TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Boolean}, bound= c_bool@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_bool})
41831 @end deffn
41833 @geindex Set_Macro_Expanded_Flag() (in module pyGHDL.libghdl.vhdl.nodes)
41834 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Macro_Expanded_Flag}@anchor{eea}
41835 @deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Macro_Expanded_Flag (obj, value)
41837 @*Return type: 
41838 None@footnote{https://docs.python.org/3.6/library/constants.html#None}
41840 @end deffn
41842 @geindex Get_Need_Instance_Bodies() (in module pyGHDL.libghdl.vhdl.nodes)
41843 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Need_Instance_Bodies}@anchor{eeb}
41844 @deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Need_Instance_Bodies (obj)
41846 @*Return type: 
41847 TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Boolean}, bound= c_bool@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_bool})
41849 @end deffn
41851 @geindex Set_Need_Instance_Bodies() (in module pyGHDL.libghdl.vhdl.nodes)
41852 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Need_Instance_Bodies}@anchor{eec}
41853 @deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Need_Instance_Bodies (obj, value)
41855 @*Return type: 
41856 None@footnote{https://docs.python.org/3.6/library/constants.html#None}
41858 @end deffn
41860 @geindex Get_Hierarchical_Name() (in module pyGHDL.libghdl.vhdl.nodes)
41861 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Hierarchical_Name}@anchor{eed}
41862 @deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Hierarchical_Name (obj)
41864 @*Return type: 
41865 TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
41867 @end deffn
41869 @geindex Set_Hierarchical_Name() (in module pyGHDL.libghdl.vhdl.nodes)
41870 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Hierarchical_Name}@anchor{eee}
41871 @deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Hierarchical_Name (obj, value)
41873 @*Return type: 
41874 None@footnote{https://docs.python.org/3.6/library/constants.html#None}
41876 @end deffn
41878 @geindex Get_Vunit_Item_Chain() (in module pyGHDL.libghdl.vhdl.nodes)
41879 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Vunit_Item_Chain}@anchor{eef}
41880 @deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Vunit_Item_Chain (obj)
41882 @*Return type: 
41883 TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
41885 @end deffn
41887 @geindex Set_Vunit_Item_Chain() (in module pyGHDL.libghdl.vhdl.nodes)
41888 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Vunit_Item_Chain}@anchor{ef0}
41889 @deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Vunit_Item_Chain (obj, value)
41891 @*Return type: 
41892 None@footnote{https://docs.python.org/3.6/library/constants.html#None}
41894 @end deffn
41896 @geindex Get_Bound_Vunit_Chain() (in module pyGHDL.libghdl.vhdl.nodes)
41897 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Bound_Vunit_Chain}@anchor{ef1}
41898 @deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Bound_Vunit_Chain (obj)
41900 @*Return type: 
41901 TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
41903 @end deffn
41905 @geindex Set_Bound_Vunit_Chain() (in module pyGHDL.libghdl.vhdl.nodes)
41906 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Bound_Vunit_Chain}@anchor{ef2}
41907 @deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Bound_Vunit_Chain (obj, value)
41909 @*Return type: 
41910 None@footnote{https://docs.python.org/3.6/library/constants.html#None}
41912 @end deffn
41914 @geindex Get_Verification_Block_Configuration() (in module pyGHDL.libghdl.vhdl.nodes)
41915 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Verification_Block_Configuration}@anchor{ef3}
41916 @deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Verification_Block_Configuration (obj)
41918 @*Return type: 
41919 TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
41921 @end deffn
41923 @geindex Set_Verification_Block_Configuration() (in module pyGHDL.libghdl.vhdl.nodes)
41924 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Verification_Block_Configuration}@anchor{ef4}
41925 @deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Verification_Block_Configuration (obj, value)
41927 @*Return type: 
41928 None@footnote{https://docs.python.org/3.6/library/constants.html#None}
41930 @end deffn
41932 @geindex Get_Block_Configuration() (in module pyGHDL.libghdl.vhdl.nodes)
41933 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Block_Configuration}@anchor{ef5}
41934 @deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Block_Configuration (obj)
41936 @*Return type: 
41937 TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
41939 @end deffn
41941 @geindex Set_Block_Configuration() (in module pyGHDL.libghdl.vhdl.nodes)
41942 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Block_Configuration}@anchor{ef6}
41943 @deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Block_Configuration (obj, value)
41945 @*Return type: 
41946 None@footnote{https://docs.python.org/3.6/library/constants.html#None}
41948 @end deffn
41950 @geindex Get_Concurrent_Statement_Chain() (in module pyGHDL.libghdl.vhdl.nodes)
41951 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Concurrent_Statement_Chain}@anchor{ef7}
41952 @deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Concurrent_Statement_Chain (obj)
41954 @*Return type: 
41955 TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
41957 @end deffn
41959 @geindex Set_Concurrent_Statement_Chain() (in module pyGHDL.libghdl.vhdl.nodes)
41960 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Concurrent_Statement_Chain}@anchor{ef8}
41961 @deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Concurrent_Statement_Chain (obj, value)
41963 @*Return type: 
41964 None@footnote{https://docs.python.org/3.6/library/constants.html#None}
41966 @end deffn
41968 @geindex Get_Chain() (in module pyGHDL.libghdl.vhdl.nodes)
41969 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Chain}@anchor{ef9}
41970 @deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Chain (obj)
41972 @*Return type: 
41973 TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
41975 @end deffn
41977 @geindex Set_Chain() (in module pyGHDL.libghdl.vhdl.nodes)
41978 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Chain}@anchor{efa}
41979 @deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Chain (obj, value)
41981 @*Return type: 
41982 None@footnote{https://docs.python.org/3.6/library/constants.html#None}
41984 @end deffn
41986 @geindex Get_Port_Chain() (in module pyGHDL.libghdl.vhdl.nodes)
41987 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Port_Chain}@anchor{efb}
41988 @deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Port_Chain (obj)
41990 @*Return type: 
41991 TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
41993 @end deffn
41995 @geindex Set_Port_Chain() (in module pyGHDL.libghdl.vhdl.nodes)
41996 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Port_Chain}@anchor{efc}
41997 @deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Port_Chain (obj, value)
41999 @*Return type: 
42000 None@footnote{https://docs.python.org/3.6/library/constants.html#None}
42002 @end deffn
42004 @geindex Get_Generic_Chain() (in module pyGHDL.libghdl.vhdl.nodes)
42005 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Generic_Chain}@anchor{efd}
42006 @deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Generic_Chain (obj)
42008 @*Return type: 
42009 TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
42011 @end deffn
42013 @geindex Set_Generic_Chain() (in module pyGHDL.libghdl.vhdl.nodes)
42014 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Generic_Chain}@anchor{efe}
42015 @deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Generic_Chain (obj, value)
42017 @*Return type: 
42018 None@footnote{https://docs.python.org/3.6/library/constants.html#None}
42020 @end deffn
42022 @geindex Get_Type() (in module pyGHDL.libghdl.vhdl.nodes)
42023 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Type}@anchor{eff}
42024 @deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Type (obj)
42026 @*Return type: 
42027 TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
42029 @end deffn
42031 @geindex Set_Type() (in module pyGHDL.libghdl.vhdl.nodes)
42032 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Type}@anchor{f00}
42033 @deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Type (obj, value)
42035 @*Return type: 
42036 None@footnote{https://docs.python.org/3.6/library/constants.html#None}
42038 @end deffn
42040 @geindex Get_Subtype_Indication() (in module pyGHDL.libghdl.vhdl.nodes)
42041 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Subtype_Indication}@anchor{f01}
42042 @deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Subtype_Indication (obj)
42044 @*Return type: 
42045 TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
42047 @end deffn
42049 @geindex Set_Subtype_Indication() (in module pyGHDL.libghdl.vhdl.nodes)
42050 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Subtype_Indication}@anchor{f02}
42051 @deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Subtype_Indication (obj, value)
42053 @*Return type: 
42054 None@footnote{https://docs.python.org/3.6/library/constants.html#None}
42056 @end deffn
42058 @geindex Get_Discrete_Range() (in module pyGHDL.libghdl.vhdl.nodes)
42059 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Discrete_Range}@anchor{f03}
42060 @deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Discrete_Range (obj)
42062 @*Return type: 
42063 TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
42065 @end deffn
42067 @geindex Set_Discrete_Range() (in module pyGHDL.libghdl.vhdl.nodes)
42068 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Discrete_Range}@anchor{f04}
42069 @deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Discrete_Range (obj, value)
42071 @*Return type: 
42072 None@footnote{https://docs.python.org/3.6/library/constants.html#None}
42074 @end deffn
42076 @geindex Get_Type_Definition() (in module pyGHDL.libghdl.vhdl.nodes)
42077 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Type_Definition}@anchor{f05}
42078 @deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Type_Definition (obj)
42080 @*Return type: 
42081 TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
42083 @end deffn
42085 @geindex Set_Type_Definition() (in module pyGHDL.libghdl.vhdl.nodes)
42086 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Type_Definition}@anchor{f06}
42087 @deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Type_Definition (obj, value)
42089 @*Return type: 
42090 None@footnote{https://docs.python.org/3.6/library/constants.html#None}
42092 @end deffn
42094 @geindex Get_Subtype_Definition() (in module pyGHDL.libghdl.vhdl.nodes)
42095 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Subtype_Definition}@anchor{f07}
42096 @deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Subtype_Definition (obj)
42098 @*Return type: 
42099 TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
42101 @end deffn
42103 @geindex Set_Subtype_Definition() (in module pyGHDL.libghdl.vhdl.nodes)
42104 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Subtype_Definition}@anchor{f08}
42105 @deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Subtype_Definition (obj, value)
42107 @*Return type: 
42108 None@footnote{https://docs.python.org/3.6/library/constants.html#None}
42110 @end deffn
42112 @geindex Get_Incomplete_Type_Declaration() (in module pyGHDL.libghdl.vhdl.nodes)
42113 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Incomplete_Type_Declaration}@anchor{f09}
42114 @deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Incomplete_Type_Declaration (obj)
42116 @*Return type: 
42117 TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
42119 @end deffn
42121 @geindex Set_Incomplete_Type_Declaration() (in module pyGHDL.libghdl.vhdl.nodes)
42122 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Incomplete_Type_Declaration}@anchor{f0a}
42123 @deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Incomplete_Type_Declaration (obj, value)
42125 @*Return type: 
42126 None@footnote{https://docs.python.org/3.6/library/constants.html#None}
42128 @end deffn
42130 @geindex Get_Interface_Type_Subprograms() (in module pyGHDL.libghdl.vhdl.nodes)
42131 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Interface_Type_Subprograms}@anchor{f0b}
42132 @deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Interface_Type_Subprograms (obj)
42134 @*Return type: 
42135 TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
42137 @end deffn
42139 @geindex Set_Interface_Type_Subprograms() (in module pyGHDL.libghdl.vhdl.nodes)
42140 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Interface_Type_Subprograms}@anchor{f0c}
42141 @deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Interface_Type_Subprograms (obj, value)
42143 @*Return type: 
42144 None@footnote{https://docs.python.org/3.6/library/constants.html#None}
42146 @end deffn
42148 @geindex Get_Nature_Definition() (in module pyGHDL.libghdl.vhdl.nodes)
42149 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Nature_Definition}@anchor{f0d}
42150 @deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Nature_Definition (obj)
42152 @*Return type: 
42153 TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
42155 @end deffn
42157 @geindex Set_Nature_Definition() (in module pyGHDL.libghdl.vhdl.nodes)
42158 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Nature_Definition}@anchor{f0e}
42159 @deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Nature_Definition (obj, value)
42161 @*Return type: 
42162 None@footnote{https://docs.python.org/3.6/library/constants.html#None}
42164 @end deffn
42166 @geindex Get_Nature() (in module pyGHDL.libghdl.vhdl.nodes)
42167 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Nature}@anchor{f0f}
42168 @deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Nature (obj)
42170 @*Return type: 
42171 TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
42173 @end deffn
42175 @geindex Set_Nature() (in module pyGHDL.libghdl.vhdl.nodes)
42176 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Nature}@anchor{f10}
42177 @deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Nature (obj, value)
42179 @*Return type: 
42180 None@footnote{https://docs.python.org/3.6/library/constants.html#None}
42182 @end deffn
42184 @geindex Get_Subnature_Indication() (in module pyGHDL.libghdl.vhdl.nodes)
42185 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Subnature_Indication}@anchor{f11}
42186 @deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Subnature_Indication (obj)
42188 @*Return type: 
42189 TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
42191 @end deffn
42193 @geindex Set_Subnature_Indication() (in module pyGHDL.libghdl.vhdl.nodes)
42194 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Subnature_Indication}@anchor{f12}
42195 @deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Subnature_Indication (obj, value)
42197 @*Return type: 
42198 None@footnote{https://docs.python.org/3.6/library/constants.html#None}
42200 @end deffn
42202 @geindex Get_Mode() (in module pyGHDL.libghdl.vhdl.nodes)
42203 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Mode}@anchor{f13}
42204 @deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Mode (obj)
42206 @*Return type: 
42207 TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
42209 @end deffn
42211 @geindex Set_Mode() (in module pyGHDL.libghdl.vhdl.nodes)
42212 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Mode}@anchor{f14}
42213 @deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Mode (obj, value)
42215 @*Return type: 
42216 None@footnote{https://docs.python.org/3.6/library/constants.html#None}
42218 @end deffn
42220 @geindex Get_Guarded_Signal_Flag() (in module pyGHDL.libghdl.vhdl.nodes)
42221 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Guarded_Signal_Flag}@anchor{f15}
42222 @deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Guarded_Signal_Flag (obj)
42224 @*Return type: 
42225 TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Boolean}, bound= c_bool@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_bool})
42227 @end deffn
42229 @geindex Set_Guarded_Signal_Flag() (in module pyGHDL.libghdl.vhdl.nodes)
42230 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Guarded_Signal_Flag}@anchor{f16}
42231 @deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Guarded_Signal_Flag (obj, value)
42233 @*Return type: 
42234 None@footnote{https://docs.python.org/3.6/library/constants.html#None}
42236 @end deffn
42238 @geindex Get_Signal_Kind() (in module pyGHDL.libghdl.vhdl.nodes)
42239 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Signal_Kind}@anchor{f17}
42240 @deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Signal_Kind (obj)
42242 @*Return type: 
42243 TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
42245 @end deffn
42247 @geindex Set_Signal_Kind() (in module pyGHDL.libghdl.vhdl.nodes)
42248 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Signal_Kind}@anchor{f18}
42249 @deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Signal_Kind (obj, value)
42251 @*Return type: 
42252 None@footnote{https://docs.python.org/3.6/library/constants.html#None}
42254 @end deffn
42256 @geindex Get_Base_Name() (in module pyGHDL.libghdl.vhdl.nodes)
42257 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Base_Name}@anchor{f19}
42258 @deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Base_Name (obj)
42260 @*Return type: 
42261 TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
42263 @end deffn
42265 @geindex Set_Base_Name() (in module pyGHDL.libghdl.vhdl.nodes)
42266 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Base_Name}@anchor{f1a}
42267 @deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Base_Name (obj, value)
42269 @*Return type: 
42270 None@footnote{https://docs.python.org/3.6/library/constants.html#None}
42272 @end deffn
42274 @geindex Get_Interface_Declaration_Chain() (in module pyGHDL.libghdl.vhdl.nodes)
42275 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Interface_Declaration_Chain}@anchor{f1b}
42276 @deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Interface_Declaration_Chain (obj)
42278 @*Return type: 
42279 TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
42281 @end deffn
42283 @geindex Set_Interface_Declaration_Chain() (in module pyGHDL.libghdl.vhdl.nodes)
42284 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Interface_Declaration_Chain}@anchor{f1c}
42285 @deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Interface_Declaration_Chain (obj, value)
42287 @*Return type: 
42288 None@footnote{https://docs.python.org/3.6/library/constants.html#None}
42290 @end deffn
42292 @geindex Get_Subprogram_Specification() (in module pyGHDL.libghdl.vhdl.nodes)
42293 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Subprogram_Specification}@anchor{f1d}
42294 @deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Subprogram_Specification (obj)
42296 @*Return type: 
42297 TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
42299 @end deffn
42301 @geindex Set_Subprogram_Specification() (in module pyGHDL.libghdl.vhdl.nodes)
42302 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Subprogram_Specification}@anchor{f1e}
42303 @deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Subprogram_Specification (obj, value)
42305 @*Return type: 
42306 None@footnote{https://docs.python.org/3.6/library/constants.html#None}
42308 @end deffn
42310 @geindex Get_Sequential_Statement_Chain() (in module pyGHDL.libghdl.vhdl.nodes)
42311 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Sequential_Statement_Chain}@anchor{f1f}
42312 @deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Sequential_Statement_Chain (obj)
42314 @*Return type: 
42315 TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
42317 @end deffn
42319 @geindex Set_Sequential_Statement_Chain() (in module pyGHDL.libghdl.vhdl.nodes)
42320 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Sequential_Statement_Chain}@anchor{f20}
42321 @deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Sequential_Statement_Chain (obj, value)
42323 @*Return type: 
42324 None@footnote{https://docs.python.org/3.6/library/constants.html#None}
42326 @end deffn
42328 @geindex Get_Simultaneous_Statement_Chain() (in module pyGHDL.libghdl.vhdl.nodes)
42329 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Simultaneous_Statement_Chain}@anchor{f21}
42330 @deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Simultaneous_Statement_Chain (obj)
42332 @*Return type: 
42333 TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
42335 @end deffn
42337 @geindex Set_Simultaneous_Statement_Chain() (in module pyGHDL.libghdl.vhdl.nodes)
42338 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Simultaneous_Statement_Chain}@anchor{f22}
42339 @deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Simultaneous_Statement_Chain (obj, value)
42341 @*Return type: 
42342 None@footnote{https://docs.python.org/3.6/library/constants.html#None}
42344 @end deffn
42346 @geindex Get_Subprogram_Body() (in module pyGHDL.libghdl.vhdl.nodes)
42347 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Subprogram_Body}@anchor{f23}
42348 @deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Subprogram_Body (obj)
42350 @*Return type: 
42351 TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
42353 @end deffn
42355 @geindex Set_Subprogram_Body() (in module pyGHDL.libghdl.vhdl.nodes)
42356 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Subprogram_Body}@anchor{f24}
42357 @deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Subprogram_Body (obj, value)
42359 @*Return type: 
42360 None@footnote{https://docs.python.org/3.6/library/constants.html#None}
42362 @end deffn
42364 @geindex Get_Overload_Number() (in module pyGHDL.libghdl.vhdl.nodes)
42365 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Overload_Number}@anchor{f25}
42366 @deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Overload_Number (obj)
42368 @*Return type: 
42369 TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
42371 @end deffn
42373 @geindex Set_Overload_Number() (in module pyGHDL.libghdl.vhdl.nodes)
42374 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Overload_Number}@anchor{f26}
42375 @deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Overload_Number (obj, value)
42377 @*Return type: 
42378 None@footnote{https://docs.python.org/3.6/library/constants.html#None}
42380 @end deffn
42382 @geindex Get_Subprogram_Depth() (in module pyGHDL.libghdl.vhdl.nodes)
42383 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Subprogram_Depth}@anchor{f27}
42384 @deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Subprogram_Depth (obj)
42386 @*Return type: 
42387 TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
42389 @end deffn
42391 @geindex Set_Subprogram_Depth() (in module pyGHDL.libghdl.vhdl.nodes)
42392 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Subprogram_Depth}@anchor{f28}
42393 @deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Subprogram_Depth (obj, value)
42395 @*Return type: 
42396 None@footnote{https://docs.python.org/3.6/library/constants.html#None}
42398 @end deffn
42400 @geindex Get_Subprogram_Hash() (in module pyGHDL.libghdl.vhdl.nodes)
42401 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Subprogram_Hash}@anchor{f29}
42402 @deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Subprogram_Hash (obj)
42404 @*Return type: 
42405 TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
42407 @end deffn
42409 @geindex Set_Subprogram_Hash() (in module pyGHDL.libghdl.vhdl.nodes)
42410 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Subprogram_Hash}@anchor{f2a}
42411 @deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Subprogram_Hash (obj, value)
42413 @*Return type: 
42414 None@footnote{https://docs.python.org/3.6/library/constants.html#None}
42416 @end deffn
42418 @geindex Get_Impure_Depth() (in module pyGHDL.libghdl.vhdl.nodes)
42419 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Impure_Depth}@anchor{f2b}
42420 @deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Impure_Depth (obj)
42422 @*Return type: 
42423 TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
42425 @end deffn
42427 @geindex Set_Impure_Depth() (in module pyGHDL.libghdl.vhdl.nodes)
42428 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Impure_Depth}@anchor{f2c}
42429 @deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Impure_Depth (obj, value)
42431 @*Return type: 
42432 None@footnote{https://docs.python.org/3.6/library/constants.html#None}
42434 @end deffn
42436 @geindex Get_Return_Type() (in module pyGHDL.libghdl.vhdl.nodes)
42437 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Return_Type}@anchor{f2d}
42438 @deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Return_Type (obj)
42440 @*Return type: 
42441 TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
42443 @end deffn
42445 @geindex Set_Return_Type() (in module pyGHDL.libghdl.vhdl.nodes)
42446 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Return_Type}@anchor{f2e}
42447 @deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Return_Type (obj, value)
42449 @*Return type: 
42450 None@footnote{https://docs.python.org/3.6/library/constants.html#None}
42452 @end deffn
42454 @geindex Get_Implicit_Definition() (in module pyGHDL.libghdl.vhdl.nodes)
42455 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Implicit_Definition}@anchor{f2f}
42456 @deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Implicit_Definition (obj)
42458 @*Return type: 
42459 TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
42461 @end deffn
42463 @geindex Set_Implicit_Definition() (in module pyGHDL.libghdl.vhdl.nodes)
42464 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Implicit_Definition}@anchor{f30}
42465 @deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Implicit_Definition (obj, value)
42467 @*Return type: 
42468 None@footnote{https://docs.python.org/3.6/library/constants.html#None}
42470 @end deffn
42472 @geindex Get_Uninstantiated_Subprogram_Name() (in module pyGHDL.libghdl.vhdl.nodes)
42473 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Uninstantiated_Subprogram_Name}@anchor{f31}
42474 @deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Uninstantiated_Subprogram_Name (obj)
42476 @*Return type: 
42477 TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
42479 @end deffn
42481 @geindex Set_Uninstantiated_Subprogram_Name() (in module pyGHDL.libghdl.vhdl.nodes)
42482 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Uninstantiated_Subprogram_Name}@anchor{f32}
42483 @deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Uninstantiated_Subprogram_Name (obj, value)
42485 @*Return type: 
42486 None@footnote{https://docs.python.org/3.6/library/constants.html#None}
42488 @end deffn
42490 @geindex Get_Default_Value() (in module pyGHDL.libghdl.vhdl.nodes)
42491 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Default_Value}@anchor{f33}
42492 @deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Default_Value (obj)
42494 @*Return type: 
42495 TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
42497 @end deffn
42499 @geindex Set_Default_Value() (in module pyGHDL.libghdl.vhdl.nodes)
42500 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Default_Value}@anchor{f34}
42501 @deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Default_Value (obj, value)
42503 @*Return type: 
42504 None@footnote{https://docs.python.org/3.6/library/constants.html#None}
42506 @end deffn
42508 @geindex Get_Deferred_Declaration() (in module pyGHDL.libghdl.vhdl.nodes)
42509 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Deferred_Declaration}@anchor{f35}
42510 @deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Deferred_Declaration (obj)
42512 @*Return type: 
42513 TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
42515 @end deffn
42517 @geindex Set_Deferred_Declaration() (in module pyGHDL.libghdl.vhdl.nodes)
42518 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Deferred_Declaration}@anchor{f36}
42519 @deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Deferred_Declaration (obj, value)
42521 @*Return type: 
42522 None@footnote{https://docs.python.org/3.6/library/constants.html#None}
42524 @end deffn
42526 @geindex Get_Deferred_Declaration_Flag() (in module pyGHDL.libghdl.vhdl.nodes)
42527 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Deferred_Declaration_Flag}@anchor{f37}
42528 @deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Deferred_Declaration_Flag (obj)
42530 @*Return type: 
42531 TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Boolean}, bound= c_bool@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_bool})
42533 @end deffn
42535 @geindex Set_Deferred_Declaration_Flag() (in module pyGHDL.libghdl.vhdl.nodes)
42536 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Deferred_Declaration_Flag}@anchor{f38}
42537 @deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Deferred_Declaration_Flag (obj, value)
42539 @*Return type: 
42540 None@footnote{https://docs.python.org/3.6/library/constants.html#None}
42542 @end deffn
42544 @geindex Get_Shared_Flag() (in module pyGHDL.libghdl.vhdl.nodes)
42545 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Shared_Flag}@anchor{f39}
42546 @deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Shared_Flag (obj)
42548 @*Return type: 
42549 TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Boolean}, bound= c_bool@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_bool})
42551 @end deffn
42553 @geindex Set_Shared_Flag() (in module pyGHDL.libghdl.vhdl.nodes)
42554 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Shared_Flag}@anchor{f3a}
42555 @deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Shared_Flag (obj, value)
42557 @*Return type: 
42558 None@footnote{https://docs.python.org/3.6/library/constants.html#None}
42560 @end deffn
42562 @geindex Get_Design_Unit() (in module pyGHDL.libghdl.vhdl.nodes)
42563 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Design_Unit}@anchor{f3b}
42564 @deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Design_Unit (obj)
42566 @*Return type: 
42567 TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
42569 @end deffn
42571 @geindex Set_Design_Unit() (in module pyGHDL.libghdl.vhdl.nodes)
42572 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Design_Unit}@anchor{f3c}
42573 @deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Design_Unit (obj, value)
42575 @*Return type: 
42576 None@footnote{https://docs.python.org/3.6/library/constants.html#None}
42578 @end deffn
42580 @geindex Get_Block_Statement() (in module pyGHDL.libghdl.vhdl.nodes)
42581 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Block_Statement}@anchor{f3d}
42582 @deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Block_Statement (obj)
42584 @*Return type: 
42585 TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
42587 @end deffn
42589 @geindex Set_Block_Statement() (in module pyGHDL.libghdl.vhdl.nodes)
42590 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Block_Statement}@anchor{f3e}
42591 @deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Block_Statement (obj, value)
42593 @*Return type: 
42594 None@footnote{https://docs.python.org/3.6/library/constants.html#None}
42596 @end deffn
42598 @geindex Get_Signal_Driver() (in module pyGHDL.libghdl.vhdl.nodes)
42599 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Signal_Driver}@anchor{f3f}
42600 @deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Signal_Driver (obj)
42602 @*Return type: 
42603 TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
42605 @end deffn
42607 @geindex Set_Signal_Driver() (in module pyGHDL.libghdl.vhdl.nodes)
42608 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Signal_Driver}@anchor{f40}
42609 @deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Signal_Driver (obj, value)
42611 @*Return type: 
42612 None@footnote{https://docs.python.org/3.6/library/constants.html#None}
42614 @end deffn
42616 @geindex Get_Declaration_Chain() (in module pyGHDL.libghdl.vhdl.nodes)
42617 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Declaration_Chain}@anchor{f41}
42618 @deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Declaration_Chain (obj)
42620 @*Return type: 
42621 TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
42623 @end deffn
42625 @geindex Set_Declaration_Chain() (in module pyGHDL.libghdl.vhdl.nodes)
42626 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Declaration_Chain}@anchor{f42}
42627 @deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Declaration_Chain (obj, value)
42629 @*Return type: 
42630 None@footnote{https://docs.python.org/3.6/library/constants.html#None}
42632 @end deffn
42634 @geindex Get_File_Logical_Name() (in module pyGHDL.libghdl.vhdl.nodes)
42635 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_File_Logical_Name}@anchor{f43}
42636 @deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_File_Logical_Name (obj)
42638 @*Return type: 
42639 TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
42641 @end deffn
42643 @geindex Set_File_Logical_Name() (in module pyGHDL.libghdl.vhdl.nodes)
42644 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_File_Logical_Name}@anchor{f44}
42645 @deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_File_Logical_Name (obj, value)
42647 @*Return type: 
42648 None@footnote{https://docs.python.org/3.6/library/constants.html#None}
42650 @end deffn
42652 @geindex Get_File_Open_Kind() (in module pyGHDL.libghdl.vhdl.nodes)
42653 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_File_Open_Kind}@anchor{f45}
42654 @deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_File_Open_Kind (obj)
42656 @*Return type: 
42657 TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
42659 @end deffn
42661 @geindex Set_File_Open_Kind() (in module pyGHDL.libghdl.vhdl.nodes)
42662 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_File_Open_Kind}@anchor{f46}
42663 @deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_File_Open_Kind (obj, value)
42665 @*Return type: 
42666 None@footnote{https://docs.python.org/3.6/library/constants.html#None}
42668 @end deffn
42670 @geindex Get_Element_Position() (in module pyGHDL.libghdl.vhdl.nodes)
42671 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Element_Position}@anchor{f47}
42672 @deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Element_Position (obj)
42674 @*Return type: 
42675 TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
42677 @end deffn
42679 @geindex Set_Element_Position() (in module pyGHDL.libghdl.vhdl.nodes)
42680 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Element_Position}@anchor{f48}
42681 @deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Element_Position (obj, value)
42683 @*Return type: 
42684 None@footnote{https://docs.python.org/3.6/library/constants.html#None}
42686 @end deffn
42688 @geindex Get_Use_Clause_Chain() (in module pyGHDL.libghdl.vhdl.nodes)
42689 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Use_Clause_Chain}@anchor{f49}
42690 @deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Use_Clause_Chain (obj)
42692 @*Return type: 
42693 TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
42695 @end deffn
42697 @geindex Set_Use_Clause_Chain() (in module pyGHDL.libghdl.vhdl.nodes)
42698 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Use_Clause_Chain}@anchor{f4a}
42699 @deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Use_Clause_Chain (obj, value)
42701 @*Return type: 
42702 None@footnote{https://docs.python.org/3.6/library/constants.html#None}
42704 @end deffn
42706 @geindex Get_Context_Reference_Chain() (in module pyGHDL.libghdl.vhdl.nodes)
42707 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Context_Reference_Chain}@anchor{f4b}
42708 @deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Context_Reference_Chain (obj)
42710 @*Return type: 
42711 TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
42713 @end deffn
42715 @geindex Set_Context_Reference_Chain() (in module pyGHDL.libghdl.vhdl.nodes)
42716 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Context_Reference_Chain}@anchor{f4c}
42717 @deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Context_Reference_Chain (obj, value)
42719 @*Return type: 
42720 None@footnote{https://docs.python.org/3.6/library/constants.html#None}
42722 @end deffn
42724 @geindex Get_Inherit_Spec_Chain() (in module pyGHDL.libghdl.vhdl.nodes)
42725 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Inherit_Spec_Chain}@anchor{f4d}
42726 @deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Inherit_Spec_Chain (obj)
42728 @*Return type: 
42729 TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
42731 @end deffn
42733 @geindex Set_Inherit_Spec_Chain() (in module pyGHDL.libghdl.vhdl.nodes)
42734 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Inherit_Spec_Chain}@anchor{f4e}
42735 @deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Inherit_Spec_Chain (obj, value)
42737 @*Return type: 
42738 None@footnote{https://docs.python.org/3.6/library/constants.html#None}
42740 @end deffn
42742 @geindex Get_Selected_Name() (in module pyGHDL.libghdl.vhdl.nodes)
42743 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Selected_Name}@anchor{f4f}
42744 @deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Selected_Name (obj)
42746 @*Return type: 
42747 TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
42749 @end deffn
42751 @geindex Set_Selected_Name() (in module pyGHDL.libghdl.vhdl.nodes)
42752 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Selected_Name}@anchor{f50}
42753 @deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Selected_Name (obj, value)
42755 @*Return type: 
42756 None@footnote{https://docs.python.org/3.6/library/constants.html#None}
42758 @end deffn
42760 @geindex Get_Type_Declarator() (in module pyGHDL.libghdl.vhdl.nodes)
42761 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Type_Declarator}@anchor{f51}
42762 @deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Type_Declarator (obj)
42764 @*Return type: 
42765 TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
42767 @end deffn
42769 @geindex Set_Type_Declarator() (in module pyGHDL.libghdl.vhdl.nodes)
42770 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Type_Declarator}@anchor{f52}
42771 @deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Type_Declarator (obj, value)
42773 @*Return type: 
42774 None@footnote{https://docs.python.org/3.6/library/constants.html#None}
42776 @end deffn
42778 @geindex Get_Complete_Type_Definition() (in module pyGHDL.libghdl.vhdl.nodes)
42779 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Complete_Type_Definition}@anchor{f53}
42780 @deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Complete_Type_Definition (obj)
42782 @*Return type: 
42783 TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
42785 @end deffn
42787 @geindex Set_Complete_Type_Definition() (in module pyGHDL.libghdl.vhdl.nodes)
42788 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Complete_Type_Definition}@anchor{f54}
42789 @deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Complete_Type_Definition (obj, value)
42791 @*Return type: 
42792 None@footnote{https://docs.python.org/3.6/library/constants.html#None}
42794 @end deffn
42796 @geindex Get_Incomplete_Type_Ref_Chain() (in module pyGHDL.libghdl.vhdl.nodes)
42797 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Incomplete_Type_Ref_Chain}@anchor{f55}
42798 @deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Incomplete_Type_Ref_Chain (obj)
42800 @*Return type: 
42801 TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
42803 @end deffn
42805 @geindex Set_Incomplete_Type_Ref_Chain() (in module pyGHDL.libghdl.vhdl.nodes)
42806 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Incomplete_Type_Ref_Chain}@anchor{f56}
42807 @deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Incomplete_Type_Ref_Chain (obj, value)
42809 @*Return type: 
42810 None@footnote{https://docs.python.org/3.6/library/constants.html#None}
42812 @end deffn
42814 @geindex Get_Associated_Type() (in module pyGHDL.libghdl.vhdl.nodes)
42815 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Associated_Type}@anchor{f57}
42816 @deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Associated_Type (obj)
42818 @*Return type: 
42819 TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
42821 @end deffn
42823 @geindex Set_Associated_Type() (in module pyGHDL.libghdl.vhdl.nodes)
42824 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Associated_Type}@anchor{f58}
42825 @deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Associated_Type (obj, value)
42827 @*Return type: 
42828 None@footnote{https://docs.python.org/3.6/library/constants.html#None}
42830 @end deffn
42832 @geindex Get_Enumeration_Literal_List() (in module pyGHDL.libghdl.vhdl.nodes)
42833 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Enumeration_Literal_List}@anchor{f59}
42834 @deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Enumeration_Literal_List (obj)
42836 @*Return type: 
42837 TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
42839 @end deffn
42841 @geindex Set_Enumeration_Literal_List() (in module pyGHDL.libghdl.vhdl.nodes)
42842 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Enumeration_Literal_List}@anchor{f5a}
42843 @deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Enumeration_Literal_List (obj, value)
42845 @*Return type: 
42846 None@footnote{https://docs.python.org/3.6/library/constants.html#None}
42848 @end deffn
42850 @geindex Get_Entity_Class_Entry_Chain() (in module pyGHDL.libghdl.vhdl.nodes)
42851 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Entity_Class_Entry_Chain}@anchor{f5b}
42852 @deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Entity_Class_Entry_Chain (obj)
42854 @*Return type: 
42855 TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
42857 @end deffn
42859 @geindex Set_Entity_Class_Entry_Chain() (in module pyGHDL.libghdl.vhdl.nodes)
42860 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Entity_Class_Entry_Chain}@anchor{f5c}
42861 @deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Entity_Class_Entry_Chain (obj, value)
42863 @*Return type: 
42864 None@footnote{https://docs.python.org/3.6/library/constants.html#None}
42866 @end deffn
42868 @geindex Get_Group_Constituent_List() (in module pyGHDL.libghdl.vhdl.nodes)
42869 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Group_Constituent_List}@anchor{f5d}
42870 @deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Group_Constituent_List (obj)
42872 @*Return type: 
42873 TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
42875 @end deffn
42877 @geindex Set_Group_Constituent_List() (in module pyGHDL.libghdl.vhdl.nodes)
42878 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Group_Constituent_List}@anchor{f5e}
42879 @deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Group_Constituent_List (obj, value)
42881 @*Return type: 
42882 None@footnote{https://docs.python.org/3.6/library/constants.html#None}
42884 @end deffn
42886 @geindex Get_Unit_Chain() (in module pyGHDL.libghdl.vhdl.nodes)
42887 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Unit_Chain}@anchor{f5f}
42888 @deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Unit_Chain (obj)
42890 @*Return type: 
42891 TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
42893 @end deffn
42895 @geindex Set_Unit_Chain() (in module pyGHDL.libghdl.vhdl.nodes)
42896 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Unit_Chain}@anchor{f60}
42897 @deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Unit_Chain (obj, value)
42899 @*Return type: 
42900 None@footnote{https://docs.python.org/3.6/library/constants.html#None}
42902 @end deffn
42904 @geindex Get_Primary_Unit() (in module pyGHDL.libghdl.vhdl.nodes)
42905 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Primary_Unit}@anchor{f61}
42906 @deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Primary_Unit (obj)
42908 @*Return type: 
42909 TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
42911 @end deffn
42913 @geindex Set_Primary_Unit() (in module pyGHDL.libghdl.vhdl.nodes)
42914 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Primary_Unit}@anchor{f62}
42915 @deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Primary_Unit (obj, value)
42917 @*Return type: 
42918 None@footnote{https://docs.python.org/3.6/library/constants.html#None}
42920 @end deffn
42922 @geindex Get_Identifier() (in module pyGHDL.libghdl.vhdl.nodes)
42923 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Identifier}@anchor{f63}
42924 @deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Identifier (obj)
42926 @*Return type: 
42927 TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{NameId}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
42929 @end deffn
42931 @geindex Set_Identifier() (in module pyGHDL.libghdl.vhdl.nodes)
42932 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Identifier}@anchor{f64}
42933 @deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Identifier (obj, value)
42935 @*Return type: 
42936 None@footnote{https://docs.python.org/3.6/library/constants.html#None}
42938 @end deffn
42940 @geindex Get_Label() (in module pyGHDL.libghdl.vhdl.nodes)
42941 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Label}@anchor{f65}
42942 @deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Label (obj)
42944 @*Return type: 
42945 TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{NameId}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
42947 @end deffn
42949 @geindex Set_Label() (in module pyGHDL.libghdl.vhdl.nodes)
42950 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Label}@anchor{f66}
42951 @deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Label (obj, value)
42953 @*Return type: 
42954 None@footnote{https://docs.python.org/3.6/library/constants.html#None}
42956 @end deffn
42958 @geindex Get_Visible_Flag() (in module pyGHDL.libghdl.vhdl.nodes)
42959 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Visible_Flag}@anchor{f67}
42960 @deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Visible_Flag (obj)
42962 @*Return type: 
42963 TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Boolean}, bound= c_bool@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_bool})
42965 @end deffn
42967 @geindex Set_Visible_Flag() (in module pyGHDL.libghdl.vhdl.nodes)
42968 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Visible_Flag}@anchor{f68}
42969 @deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Visible_Flag (obj, value)
42971 @*Return type: 
42972 None@footnote{https://docs.python.org/3.6/library/constants.html#None}
42974 @end deffn
42976 @geindex Get_Range_Constraint() (in module pyGHDL.libghdl.vhdl.nodes)
42977 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Range_Constraint}@anchor{f69}
42978 @deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Range_Constraint (obj)
42980 @*Return type: 
42981 TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
42983 @end deffn
42985 @geindex Set_Range_Constraint() (in module pyGHDL.libghdl.vhdl.nodes)
42986 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Range_Constraint}@anchor{f6a}
42987 @deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Range_Constraint (obj, value)
42989 @*Return type: 
42990 None@footnote{https://docs.python.org/3.6/library/constants.html#None}
42992 @end deffn
42994 @geindex Get_Direction() (in module pyGHDL.libghdl.vhdl.nodes)
42995 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Direction}@anchor{f6b}
42996 @deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Direction (obj)
42998 @*Return type: 
42999 @ref{a2a,,DirectionType}
43001 @end deffn
43003 @geindex Set_Direction() (in module pyGHDL.libghdl.vhdl.nodes)
43004 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Direction}@anchor{f6c}
43005 @deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Direction (obj, value)
43007 @*Return type: 
43008 None@footnote{https://docs.python.org/3.6/library/constants.html#None}
43010 @end deffn
43012 @geindex Get_Left_Limit() (in module pyGHDL.libghdl.vhdl.nodes)
43013 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Left_Limit}@anchor{f6d}
43014 @deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Left_Limit (obj)
43016 @*Return type: 
43017 TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
43019 @end deffn
43021 @geindex Set_Left_Limit() (in module pyGHDL.libghdl.vhdl.nodes)
43022 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Left_Limit}@anchor{f6e}
43023 @deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Left_Limit (obj, value)
43025 @*Return type: 
43026 None@footnote{https://docs.python.org/3.6/library/constants.html#None}
43028 @end deffn
43030 @geindex Get_Right_Limit() (in module pyGHDL.libghdl.vhdl.nodes)
43031 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Right_Limit}@anchor{f6f}
43032 @deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Right_Limit (obj)
43034 @*Return type: 
43035 TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
43037 @end deffn
43039 @geindex Set_Right_Limit() (in module pyGHDL.libghdl.vhdl.nodes)
43040 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Right_Limit}@anchor{f70}
43041 @deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Right_Limit (obj, value)
43043 @*Return type: 
43044 None@footnote{https://docs.python.org/3.6/library/constants.html#None}
43046 @end deffn
43048 @geindex Get_Left_Limit_Expr() (in module pyGHDL.libghdl.vhdl.nodes)
43049 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Left_Limit_Expr}@anchor{f71}
43050 @deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Left_Limit_Expr (obj)
43052 @*Return type: 
43053 TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
43055 @end deffn
43057 @geindex Set_Left_Limit_Expr() (in module pyGHDL.libghdl.vhdl.nodes)
43058 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Left_Limit_Expr}@anchor{f72}
43059 @deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Left_Limit_Expr (obj, value)
43061 @*Return type: 
43062 None@footnote{https://docs.python.org/3.6/library/constants.html#None}
43064 @end deffn
43066 @geindex Get_Right_Limit_Expr() (in module pyGHDL.libghdl.vhdl.nodes)
43067 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Right_Limit_Expr}@anchor{f73}
43068 @deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Right_Limit_Expr (obj)
43070 @*Return type: 
43071 TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
43073 @end deffn
43075 @geindex Set_Right_Limit_Expr() (in module pyGHDL.libghdl.vhdl.nodes)
43076 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Right_Limit_Expr}@anchor{f74}
43077 @deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Right_Limit_Expr (obj, value)
43079 @*Return type: 
43080 None@footnote{https://docs.python.org/3.6/library/constants.html#None}
43082 @end deffn
43084 @geindex Get_Parent_Type() (in module pyGHDL.libghdl.vhdl.nodes)
43085 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Parent_Type}@anchor{f75}
43086 @deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Parent_Type (obj)
43088 @*Return type: 
43089 TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
43091 @end deffn
43093 @geindex Set_Parent_Type() (in module pyGHDL.libghdl.vhdl.nodes)
43094 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Parent_Type}@anchor{f76}
43095 @deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Parent_Type (obj, value)
43097 @*Return type: 
43098 None@footnote{https://docs.python.org/3.6/library/constants.html#None}
43100 @end deffn
43102 @geindex Get_Simple_Nature() (in module pyGHDL.libghdl.vhdl.nodes)
43103 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Simple_Nature}@anchor{f77}
43104 @deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Simple_Nature (obj)
43106 @*Return type: 
43107 TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
43109 @end deffn
43111 @geindex Set_Simple_Nature() (in module pyGHDL.libghdl.vhdl.nodes)
43112 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Simple_Nature}@anchor{f78}
43113 @deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Simple_Nature (obj, value)
43115 @*Return type: 
43116 None@footnote{https://docs.python.org/3.6/library/constants.html#None}
43118 @end deffn
43120 @geindex Get_Base_Nature() (in module pyGHDL.libghdl.vhdl.nodes)
43121 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Base_Nature}@anchor{f79}
43122 @deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Base_Nature (obj)
43124 @*Return type: 
43125 TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
43127 @end deffn
43129 @geindex Set_Base_Nature() (in module pyGHDL.libghdl.vhdl.nodes)
43130 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Base_Nature}@anchor{f7a}
43131 @deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Base_Nature (obj, value)
43133 @*Return type: 
43134 None@footnote{https://docs.python.org/3.6/library/constants.html#None}
43136 @end deffn
43138 @geindex Get_Resolution_Indication() (in module pyGHDL.libghdl.vhdl.nodes)
43139 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Resolution_Indication}@anchor{f7b}
43140 @deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Resolution_Indication (obj)
43142 @*Return type: 
43143 TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
43145 @end deffn
43147 @geindex Set_Resolution_Indication() (in module pyGHDL.libghdl.vhdl.nodes)
43148 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Resolution_Indication}@anchor{f7c}
43149 @deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Resolution_Indication (obj, value)
43151 @*Return type: 
43152 None@footnote{https://docs.python.org/3.6/library/constants.html#None}
43154 @end deffn
43156 @geindex Get_Record_Element_Resolution_Chain() (in module pyGHDL.libghdl.vhdl.nodes)
43157 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Record_Element_Resolution_Chain}@anchor{f7d}
43158 @deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Record_Element_Resolution_Chain (obj)
43160 @*Return type: 
43161 TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
43163 @end deffn
43165 @geindex Set_Record_Element_Resolution_Chain() (in module pyGHDL.libghdl.vhdl.nodes)
43166 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Record_Element_Resolution_Chain}@anchor{f7e}
43167 @deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Record_Element_Resolution_Chain (obj, value)
43169 @*Return type: 
43170 None@footnote{https://docs.python.org/3.6/library/constants.html#None}
43172 @end deffn
43174 @geindex Get_Tolerance() (in module pyGHDL.libghdl.vhdl.nodes)
43175 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Tolerance}@anchor{f7f}
43176 @deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Tolerance (obj)
43178 @*Return type: 
43179 TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
43181 @end deffn
43183 @geindex Set_Tolerance() (in module pyGHDL.libghdl.vhdl.nodes)
43184 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Tolerance}@anchor{f80}
43185 @deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Tolerance (obj, value)
43187 @*Return type: 
43188 None@footnote{https://docs.python.org/3.6/library/constants.html#None}
43190 @end deffn
43192 @geindex Get_Plus_Terminal_Name() (in module pyGHDL.libghdl.vhdl.nodes)
43193 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Plus_Terminal_Name}@anchor{f81}
43194 @deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Plus_Terminal_Name (obj)
43196 @*Return type: 
43197 TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
43199 @end deffn
43201 @geindex Set_Plus_Terminal_Name() (in module pyGHDL.libghdl.vhdl.nodes)
43202 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Plus_Terminal_Name}@anchor{f82}
43203 @deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Plus_Terminal_Name (obj, value)
43205 @*Return type: 
43206 None@footnote{https://docs.python.org/3.6/library/constants.html#None}
43208 @end deffn
43210 @geindex Get_Minus_Terminal_Name() (in module pyGHDL.libghdl.vhdl.nodes)
43211 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Minus_Terminal_Name}@anchor{f83}
43212 @deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Minus_Terminal_Name (obj)
43214 @*Return type: 
43215 TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
43217 @end deffn
43219 @geindex Set_Minus_Terminal_Name() (in module pyGHDL.libghdl.vhdl.nodes)
43220 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Minus_Terminal_Name}@anchor{f84}
43221 @deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Minus_Terminal_Name (obj, value)
43223 @*Return type: 
43224 None@footnote{https://docs.python.org/3.6/library/constants.html#None}
43226 @end deffn
43228 @geindex Get_Plus_Terminal() (in module pyGHDL.libghdl.vhdl.nodes)
43229 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Plus_Terminal}@anchor{f85}
43230 @deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Plus_Terminal (obj)
43232 @*Return type: 
43233 TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
43235 @end deffn
43237 @geindex Set_Plus_Terminal() (in module pyGHDL.libghdl.vhdl.nodes)
43238 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Plus_Terminal}@anchor{f86}
43239 @deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Plus_Terminal (obj, value)
43241 @*Return type: 
43242 None@footnote{https://docs.python.org/3.6/library/constants.html#None}
43244 @end deffn
43246 @geindex Get_Minus_Terminal() (in module pyGHDL.libghdl.vhdl.nodes)
43247 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Minus_Terminal}@anchor{f87}
43248 @deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Minus_Terminal (obj)
43250 @*Return type: 
43251 TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
43253 @end deffn
43255 @geindex Set_Minus_Terminal() (in module pyGHDL.libghdl.vhdl.nodes)
43256 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Minus_Terminal}@anchor{f88}
43257 @deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Minus_Terminal (obj, value)
43259 @*Return type: 
43260 None@footnote{https://docs.python.org/3.6/library/constants.html#None}
43262 @end deffn
43264 @geindex Get_Magnitude_Expression() (in module pyGHDL.libghdl.vhdl.nodes)
43265 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Magnitude_Expression}@anchor{f89}
43266 @deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Magnitude_Expression (obj)
43268 @*Return type: 
43269 TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
43271 @end deffn
43273 @geindex Set_Magnitude_Expression() (in module pyGHDL.libghdl.vhdl.nodes)
43274 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Magnitude_Expression}@anchor{f8a}
43275 @deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Magnitude_Expression (obj, value)
43277 @*Return type: 
43278 None@footnote{https://docs.python.org/3.6/library/constants.html#None}
43280 @end deffn
43282 @geindex Get_Phase_Expression() (in module pyGHDL.libghdl.vhdl.nodes)
43283 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Phase_Expression}@anchor{f8b}
43284 @deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Phase_Expression (obj)
43286 @*Return type: 
43287 TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
43289 @end deffn
43291 @geindex Set_Phase_Expression() (in module pyGHDL.libghdl.vhdl.nodes)
43292 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Phase_Expression}@anchor{f8c}
43293 @deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Phase_Expression (obj, value)
43295 @*Return type: 
43296 None@footnote{https://docs.python.org/3.6/library/constants.html#None}
43298 @end deffn
43300 @geindex Get_Power_Expression() (in module pyGHDL.libghdl.vhdl.nodes)
43301 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Power_Expression}@anchor{f8d}
43302 @deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Power_Expression (obj)
43304 @*Return type: 
43305 TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
43307 @end deffn
43309 @geindex Set_Power_Expression() (in module pyGHDL.libghdl.vhdl.nodes)
43310 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Power_Expression}@anchor{f8e}
43311 @deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Power_Expression (obj, value)
43313 @*Return type: 
43314 None@footnote{https://docs.python.org/3.6/library/constants.html#None}
43316 @end deffn
43318 @geindex Get_Simultaneous_Left() (in module pyGHDL.libghdl.vhdl.nodes)
43319 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Simultaneous_Left}@anchor{f8f}
43320 @deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Simultaneous_Left (obj)
43322 @*Return type: 
43323 TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
43325 @end deffn
43327 @geindex Set_Simultaneous_Left() (in module pyGHDL.libghdl.vhdl.nodes)
43328 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Simultaneous_Left}@anchor{f90}
43329 @deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Simultaneous_Left (obj, value)
43331 @*Return type: 
43332 None@footnote{https://docs.python.org/3.6/library/constants.html#None}
43334 @end deffn
43336 @geindex Get_Simultaneous_Right() (in module pyGHDL.libghdl.vhdl.nodes)
43337 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Simultaneous_Right}@anchor{f91}
43338 @deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Simultaneous_Right (obj)
43340 @*Return type: 
43341 TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
43343 @end deffn
43345 @geindex Set_Simultaneous_Right() (in module pyGHDL.libghdl.vhdl.nodes)
43346 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Simultaneous_Right}@anchor{f92}
43347 @deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Simultaneous_Right (obj, value)
43349 @*Return type: 
43350 None@footnote{https://docs.python.org/3.6/library/constants.html#None}
43352 @end deffn
43354 @geindex Get_Text_File_Flag() (in module pyGHDL.libghdl.vhdl.nodes)
43355 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Text_File_Flag}@anchor{f93}
43356 @deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Text_File_Flag (obj)
43358 @*Return type: 
43359 TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Boolean}, bound= c_bool@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_bool})
43361 @end deffn
43363 @geindex Set_Text_File_Flag() (in module pyGHDL.libghdl.vhdl.nodes)
43364 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Text_File_Flag}@anchor{f94}
43365 @deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Text_File_Flag (obj, value)
43367 @*Return type: 
43368 None@footnote{https://docs.python.org/3.6/library/constants.html#None}
43370 @end deffn
43372 @geindex Get_Only_Characters_Flag() (in module pyGHDL.libghdl.vhdl.nodes)
43373 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Only_Characters_Flag}@anchor{f95}
43374 @deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Only_Characters_Flag (obj)
43376 @*Return type: 
43377 TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Boolean}, bound= c_bool@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_bool})
43379 @end deffn
43381 @geindex Set_Only_Characters_Flag() (in module pyGHDL.libghdl.vhdl.nodes)
43382 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Only_Characters_Flag}@anchor{f96}
43383 @deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Only_Characters_Flag (obj, value)
43385 @*Return type: 
43386 None@footnote{https://docs.python.org/3.6/library/constants.html#None}
43388 @end deffn
43390 @geindex Get_Is_Character_Type() (in module pyGHDL.libghdl.vhdl.nodes)
43391 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Is_Character_Type}@anchor{f97}
43392 @deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Is_Character_Type (obj)
43394 @*Return type: 
43395 TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Boolean}, bound= c_bool@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_bool})
43397 @end deffn
43399 @geindex Set_Is_Character_Type() (in module pyGHDL.libghdl.vhdl.nodes)
43400 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Is_Character_Type}@anchor{f98}
43401 @deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Is_Character_Type (obj, value)
43403 @*Return type: 
43404 None@footnote{https://docs.python.org/3.6/library/constants.html#None}
43406 @end deffn
43408 @geindex Get_Nature_Staticness() (in module pyGHDL.libghdl.vhdl.nodes)
43409 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Nature_Staticness}@anchor{f99}
43410 @deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Nature_Staticness (obj)
43412 @*Return type: 
43413 TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
43415 @end deffn
43417 @geindex Set_Nature_Staticness() (in module pyGHDL.libghdl.vhdl.nodes)
43418 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Nature_Staticness}@anchor{f9a}
43419 @deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Nature_Staticness (obj, value)
43421 @*Return type: 
43422 None@footnote{https://docs.python.org/3.6/library/constants.html#None}
43424 @end deffn
43426 @geindex Get_Type_Staticness() (in module pyGHDL.libghdl.vhdl.nodes)
43427 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Type_Staticness}@anchor{f9b}
43428 @deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Type_Staticness (obj)
43430 @*Return type: 
43431 TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
43433 @end deffn
43435 @geindex Set_Type_Staticness() (in module pyGHDL.libghdl.vhdl.nodes)
43436 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Type_Staticness}@anchor{f9c}
43437 @deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Type_Staticness (obj, value)
43439 @*Return type: 
43440 None@footnote{https://docs.python.org/3.6/library/constants.html#None}
43442 @end deffn
43444 @geindex Get_Constraint_State() (in module pyGHDL.libghdl.vhdl.nodes)
43445 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Constraint_State}@anchor{f9d}
43446 @deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Constraint_State (obj)
43448 @*Return type: 
43449 TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
43451 @end deffn
43453 @geindex Set_Constraint_State() (in module pyGHDL.libghdl.vhdl.nodes)
43454 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Constraint_State}@anchor{f9e}
43455 @deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Constraint_State (obj, value)
43457 @*Return type: 
43458 None@footnote{https://docs.python.org/3.6/library/constants.html#None}
43460 @end deffn
43462 @geindex Get_Index_Subtype_List() (in module pyGHDL.libghdl.vhdl.nodes)
43463 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Index_Subtype_List}@anchor{f9f}
43464 @deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Index_Subtype_List (obj)
43466 @*Return type: 
43467 TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
43469 @end deffn
43471 @geindex Set_Index_Subtype_List() (in module pyGHDL.libghdl.vhdl.nodes)
43472 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Index_Subtype_List}@anchor{fa0}
43473 @deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Index_Subtype_List (obj, value)
43475 @*Return type: 
43476 None@footnote{https://docs.python.org/3.6/library/constants.html#None}
43478 @end deffn
43480 @geindex Get_Index_Subtype_Definition_List() (in module pyGHDL.libghdl.vhdl.nodes)
43481 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Index_Subtype_Definition_List}@anchor{fa1}
43482 @deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Index_Subtype_Definition_List (obj)
43484 @*Return type: 
43485 TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
43487 @end deffn
43489 @geindex Set_Index_Subtype_Definition_List() (in module pyGHDL.libghdl.vhdl.nodes)
43490 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Index_Subtype_Definition_List}@anchor{fa2}
43491 @deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Index_Subtype_Definition_List (obj, value)
43493 @*Return type: 
43494 None@footnote{https://docs.python.org/3.6/library/constants.html#None}
43496 @end deffn
43498 @geindex Get_Element_Subtype_Indication() (in module pyGHDL.libghdl.vhdl.nodes)
43499 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Element_Subtype_Indication}@anchor{fa3}
43500 @deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Element_Subtype_Indication (obj)
43502 @*Return type: 
43503 TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
43505 @end deffn
43507 @geindex Set_Element_Subtype_Indication() (in module pyGHDL.libghdl.vhdl.nodes)
43508 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Element_Subtype_Indication}@anchor{fa4}
43509 @deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Element_Subtype_Indication (obj, value)
43511 @*Return type: 
43512 None@footnote{https://docs.python.org/3.6/library/constants.html#None}
43514 @end deffn
43516 @geindex Get_Element_Subtype() (in module pyGHDL.libghdl.vhdl.nodes)
43517 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Element_Subtype}@anchor{fa5}
43518 @deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Element_Subtype (obj)
43520 @*Return type: 
43521 TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
43523 @end deffn
43525 @geindex Set_Element_Subtype() (in module pyGHDL.libghdl.vhdl.nodes)
43526 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Element_Subtype}@anchor{fa6}
43527 @deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Element_Subtype (obj, value)
43529 @*Return type: 
43530 None@footnote{https://docs.python.org/3.6/library/constants.html#None}
43532 @end deffn
43534 @geindex Get_Element_Subnature_Indication() (in module pyGHDL.libghdl.vhdl.nodes)
43535 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Element_Subnature_Indication}@anchor{fa7}
43536 @deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Element_Subnature_Indication (obj)
43538 @*Return type: 
43539 TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
43541 @end deffn
43543 @geindex Set_Element_Subnature_Indication() (in module pyGHDL.libghdl.vhdl.nodes)
43544 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Element_Subnature_Indication}@anchor{fa8}
43545 @deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Element_Subnature_Indication (obj, value)
43547 @*Return type: 
43548 None@footnote{https://docs.python.org/3.6/library/constants.html#None}
43550 @end deffn
43552 @geindex Get_Element_Subnature() (in module pyGHDL.libghdl.vhdl.nodes)
43553 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Element_Subnature}@anchor{fa9}
43554 @deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Element_Subnature (obj)
43556 @*Return type: 
43557 TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
43559 @end deffn
43561 @geindex Set_Element_Subnature() (in module pyGHDL.libghdl.vhdl.nodes)
43562 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Element_Subnature}@anchor{faa}
43563 @deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Element_Subnature (obj, value)
43565 @*Return type: 
43566 None@footnote{https://docs.python.org/3.6/library/constants.html#None}
43568 @end deffn
43570 @geindex Get_Index_Constraint_List() (in module pyGHDL.libghdl.vhdl.nodes)
43571 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Index_Constraint_List}@anchor{fab}
43572 @deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Index_Constraint_List (obj)
43574 @*Return type: 
43575 TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
43577 @end deffn
43579 @geindex Set_Index_Constraint_List() (in module pyGHDL.libghdl.vhdl.nodes)
43580 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Index_Constraint_List}@anchor{fac}
43581 @deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Index_Constraint_List (obj, value)
43583 @*Return type: 
43584 None@footnote{https://docs.python.org/3.6/library/constants.html#None}
43586 @end deffn
43588 @geindex Get_Array_Element_Constraint() (in module pyGHDL.libghdl.vhdl.nodes)
43589 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Array_Element_Constraint}@anchor{fad}
43590 @deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Array_Element_Constraint (obj)
43592 @*Return type: 
43593 TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
43595 @end deffn
43597 @geindex Set_Array_Element_Constraint() (in module pyGHDL.libghdl.vhdl.nodes)
43598 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Array_Element_Constraint}@anchor{fae}
43599 @deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Array_Element_Constraint (obj, value)
43601 @*Return type: 
43602 None@footnote{https://docs.python.org/3.6/library/constants.html#None}
43604 @end deffn
43606 @geindex Get_Has_Array_Constraint_Flag() (in module pyGHDL.libghdl.vhdl.nodes)
43607 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Has_Array_Constraint_Flag}@anchor{faf}
43608 @deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Has_Array_Constraint_Flag (obj)
43610 @*Return type: 
43611 TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Boolean}, bound= c_bool@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_bool})
43613 @end deffn
43615 @geindex Set_Has_Array_Constraint_Flag() (in module pyGHDL.libghdl.vhdl.nodes)
43616 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Has_Array_Constraint_Flag}@anchor{fb0}
43617 @deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Has_Array_Constraint_Flag (obj, value)
43619 @*Return type: 
43620 None@footnote{https://docs.python.org/3.6/library/constants.html#None}
43622 @end deffn
43624 @geindex Get_Has_Element_Constraint_Flag() (in module pyGHDL.libghdl.vhdl.nodes)
43625 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Has_Element_Constraint_Flag}@anchor{fb1}
43626 @deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Has_Element_Constraint_Flag (obj)
43628 @*Return type: 
43629 TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Boolean}, bound= c_bool@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_bool})
43631 @end deffn
43633 @geindex Set_Has_Element_Constraint_Flag() (in module pyGHDL.libghdl.vhdl.nodes)
43634 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Has_Element_Constraint_Flag}@anchor{fb2}
43635 @deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Has_Element_Constraint_Flag (obj, value)
43637 @*Return type: 
43638 None@footnote{https://docs.python.org/3.6/library/constants.html#None}
43640 @end deffn
43642 @geindex Get_Elements_Declaration_List() (in module pyGHDL.libghdl.vhdl.nodes)
43643 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Elements_Declaration_List}@anchor{fb3}
43644 @deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Elements_Declaration_List (obj)
43646 @*Return type: 
43647 TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
43649 @end deffn
43651 @geindex Set_Elements_Declaration_List() (in module pyGHDL.libghdl.vhdl.nodes)
43652 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Elements_Declaration_List}@anchor{fb4}
43653 @deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Elements_Declaration_List (obj, value)
43655 @*Return type: 
43656 None@footnote{https://docs.python.org/3.6/library/constants.html#None}
43658 @end deffn
43660 @geindex Get_Owned_Elements_Chain() (in module pyGHDL.libghdl.vhdl.nodes)
43661 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Owned_Elements_Chain}@anchor{fb5}
43662 @deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Owned_Elements_Chain (obj)
43664 @*Return type: 
43665 TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
43667 @end deffn
43669 @geindex Set_Owned_Elements_Chain() (in module pyGHDL.libghdl.vhdl.nodes)
43670 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Owned_Elements_Chain}@anchor{fb6}
43671 @deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Owned_Elements_Chain (obj, value)
43673 @*Return type: 
43674 None@footnote{https://docs.python.org/3.6/library/constants.html#None}
43676 @end deffn
43678 @geindex Get_Designated_Type() (in module pyGHDL.libghdl.vhdl.nodes)
43679 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Designated_Type}@anchor{fb7}
43680 @deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Designated_Type (obj)
43682 @*Return type: 
43683 TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
43685 @end deffn
43687 @geindex Set_Designated_Type() (in module pyGHDL.libghdl.vhdl.nodes)
43688 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Designated_Type}@anchor{fb8}
43689 @deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Designated_Type (obj, value)
43691 @*Return type: 
43692 None@footnote{https://docs.python.org/3.6/library/constants.html#None}
43694 @end deffn
43696 @geindex Get_Designated_Subtype_Indication() (in module pyGHDL.libghdl.vhdl.nodes)
43697 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Designated_Subtype_Indication}@anchor{fb9}
43698 @deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Designated_Subtype_Indication (obj)
43700 @*Return type: 
43701 TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
43703 @end deffn
43705 @geindex Set_Designated_Subtype_Indication() (in module pyGHDL.libghdl.vhdl.nodes)
43706 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Designated_Subtype_Indication}@anchor{fba}
43707 @deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Designated_Subtype_Indication (obj, value)
43709 @*Return type: 
43710 None@footnote{https://docs.python.org/3.6/library/constants.html#None}
43712 @end deffn
43714 @geindex Get_Index_List() (in module pyGHDL.libghdl.vhdl.nodes)
43715 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Index_List}@anchor{fbb}
43716 @deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Index_List (obj)
43718 @*Return type: 
43719 TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
43721 @end deffn
43723 @geindex Set_Index_List() (in module pyGHDL.libghdl.vhdl.nodes)
43724 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Index_List}@anchor{fbc}
43725 @deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Index_List (obj, value)
43727 @*Return type: 
43728 None@footnote{https://docs.python.org/3.6/library/constants.html#None}
43730 @end deffn
43732 @geindex Get_Reference() (in module pyGHDL.libghdl.vhdl.nodes)
43733 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Reference}@anchor{fbd}
43734 @deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Reference (obj)
43736 @*Return type: 
43737 TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
43739 @end deffn
43741 @geindex Set_Reference() (in module pyGHDL.libghdl.vhdl.nodes)
43742 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Reference}@anchor{fbe}
43743 @deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Reference (obj, value)
43745 @*Return type: 
43746 None@footnote{https://docs.python.org/3.6/library/constants.html#None}
43748 @end deffn
43750 @geindex Get_Nature_Declarator() (in module pyGHDL.libghdl.vhdl.nodes)
43751 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Nature_Declarator}@anchor{fbf}
43752 @deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Nature_Declarator (obj)
43754 @*Return type: 
43755 TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
43757 @end deffn
43759 @geindex Set_Nature_Declarator() (in module pyGHDL.libghdl.vhdl.nodes)
43760 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Nature_Declarator}@anchor{fc0}
43761 @deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Nature_Declarator (obj, value)
43763 @*Return type: 
43764 None@footnote{https://docs.python.org/3.6/library/constants.html#None}
43766 @end deffn
43768 @geindex Get_Across_Type_Mark() (in module pyGHDL.libghdl.vhdl.nodes)
43769 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Across_Type_Mark}@anchor{fc1}
43770 @deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Across_Type_Mark (obj)
43772 @*Return type: 
43773 TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
43775 @end deffn
43777 @geindex Set_Across_Type_Mark() (in module pyGHDL.libghdl.vhdl.nodes)
43778 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Across_Type_Mark}@anchor{fc2}
43779 @deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Across_Type_Mark (obj, value)
43781 @*Return type: 
43782 None@footnote{https://docs.python.org/3.6/library/constants.html#None}
43784 @end deffn
43786 @geindex Get_Through_Type_Mark() (in module pyGHDL.libghdl.vhdl.nodes)
43787 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Through_Type_Mark}@anchor{fc3}
43788 @deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Through_Type_Mark (obj)
43790 @*Return type: 
43791 TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
43793 @end deffn
43795 @geindex Set_Through_Type_Mark() (in module pyGHDL.libghdl.vhdl.nodes)
43796 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Through_Type_Mark}@anchor{fc4}
43797 @deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Through_Type_Mark (obj, value)
43799 @*Return type: 
43800 None@footnote{https://docs.python.org/3.6/library/constants.html#None}
43802 @end deffn
43804 @geindex Get_Across_Type_Definition() (in module pyGHDL.libghdl.vhdl.nodes)
43805 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Across_Type_Definition}@anchor{fc5}
43806 @deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Across_Type_Definition (obj)
43808 @*Return type: 
43809 TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
43811 @end deffn
43813 @geindex Set_Across_Type_Definition() (in module pyGHDL.libghdl.vhdl.nodes)
43814 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Across_Type_Definition}@anchor{fc6}
43815 @deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Across_Type_Definition (obj, value)
43817 @*Return type: 
43818 None@footnote{https://docs.python.org/3.6/library/constants.html#None}
43820 @end deffn
43822 @geindex Get_Through_Type_Definition() (in module pyGHDL.libghdl.vhdl.nodes)
43823 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Through_Type_Definition}@anchor{fc7}
43824 @deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Through_Type_Definition (obj)
43826 @*Return type: 
43827 TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
43829 @end deffn
43831 @geindex Set_Through_Type_Definition() (in module pyGHDL.libghdl.vhdl.nodes)
43832 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Through_Type_Definition}@anchor{fc8}
43833 @deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Through_Type_Definition (obj, value)
43835 @*Return type: 
43836 None@footnote{https://docs.python.org/3.6/library/constants.html#None}
43838 @end deffn
43840 @geindex Get_Across_Type() (in module pyGHDL.libghdl.vhdl.nodes)
43841 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Across_Type}@anchor{fc9}
43842 @deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Across_Type (obj)
43844 @*Return type: 
43845 TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
43847 @end deffn
43849 @geindex Set_Across_Type() (in module pyGHDL.libghdl.vhdl.nodes)
43850 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Across_Type}@anchor{fca}
43851 @deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Across_Type (obj, value)
43853 @*Return type: 
43854 None@footnote{https://docs.python.org/3.6/library/constants.html#None}
43856 @end deffn
43858 @geindex Get_Through_Type() (in module pyGHDL.libghdl.vhdl.nodes)
43859 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Through_Type}@anchor{fcb}
43860 @deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Through_Type (obj)
43862 @*Return type: 
43863 TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
43865 @end deffn
43867 @geindex Set_Through_Type() (in module pyGHDL.libghdl.vhdl.nodes)
43868 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Through_Type}@anchor{fcc}
43869 @deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Through_Type (obj, value)
43871 @*Return type: 
43872 None@footnote{https://docs.python.org/3.6/library/constants.html#None}
43874 @end deffn
43876 @geindex Get_Target() (in module pyGHDL.libghdl.vhdl.nodes)
43877 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Target}@anchor{fcd}
43878 @deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Target (obj)
43880 @*Return type: 
43881 TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
43883 @end deffn
43885 @geindex Set_Target() (in module pyGHDL.libghdl.vhdl.nodes)
43886 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Target}@anchor{fce}
43887 @deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Target (obj, value)
43889 @*Return type: 
43890 None@footnote{https://docs.python.org/3.6/library/constants.html#None}
43892 @end deffn
43894 @geindex Get_Waveform_Chain() (in module pyGHDL.libghdl.vhdl.nodes)
43895 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Waveform_Chain}@anchor{fcf}
43896 @deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Waveform_Chain (obj)
43898 @*Return type: 
43899 TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
43901 @end deffn
43903 @geindex Set_Waveform_Chain() (in module pyGHDL.libghdl.vhdl.nodes)
43904 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Waveform_Chain}@anchor{fd0}
43905 @deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Waveform_Chain (obj, value)
43907 @*Return type: 
43908 None@footnote{https://docs.python.org/3.6/library/constants.html#None}
43910 @end deffn
43912 @geindex Get_Guard() (in module pyGHDL.libghdl.vhdl.nodes)
43913 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Guard}@anchor{fd1}
43914 @deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Guard (obj)
43916 @*Return type: 
43917 TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
43919 @end deffn
43921 @geindex Set_Guard() (in module pyGHDL.libghdl.vhdl.nodes)
43922 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Guard}@anchor{fd2}
43923 @deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Guard (obj, value)
43925 @*Return type: 
43926 None@footnote{https://docs.python.org/3.6/library/constants.html#None}
43928 @end deffn
43930 @geindex Get_Delay_Mechanism() (in module pyGHDL.libghdl.vhdl.nodes)
43931 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Delay_Mechanism}@anchor{fd3}
43932 @deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Delay_Mechanism (obj)
43934 @*Return type: 
43935 TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
43937 @end deffn
43939 @geindex Set_Delay_Mechanism() (in module pyGHDL.libghdl.vhdl.nodes)
43940 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Delay_Mechanism}@anchor{fd4}
43941 @deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Delay_Mechanism (obj, value)
43943 @*Return type: 
43944 None@footnote{https://docs.python.org/3.6/library/constants.html#None}
43946 @end deffn
43948 @geindex Get_Reject_Time_Expression() (in module pyGHDL.libghdl.vhdl.nodes)
43949 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Reject_Time_Expression}@anchor{fd5}
43950 @deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Reject_Time_Expression (obj)
43952 @*Return type: 
43953 TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
43955 @end deffn
43957 @geindex Set_Reject_Time_Expression() (in module pyGHDL.libghdl.vhdl.nodes)
43958 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Reject_Time_Expression}@anchor{fd6}
43959 @deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Reject_Time_Expression (obj, value)
43961 @*Return type: 
43962 None@footnote{https://docs.python.org/3.6/library/constants.html#None}
43964 @end deffn
43966 @geindex Get_Force_Mode() (in module pyGHDL.libghdl.vhdl.nodes)
43967 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Force_Mode}@anchor{fd7}
43968 @deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Force_Mode (obj)
43970 @*Return type: 
43971 TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
43973 @end deffn
43975 @geindex Set_Force_Mode() (in module pyGHDL.libghdl.vhdl.nodes)
43976 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Force_Mode}@anchor{fd8}
43977 @deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Force_Mode (obj, value)
43979 @*Return type: 
43980 None@footnote{https://docs.python.org/3.6/library/constants.html#None}
43982 @end deffn
43984 @geindex Get_Has_Force_Mode() (in module pyGHDL.libghdl.vhdl.nodes)
43985 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Has_Force_Mode}@anchor{fd9}
43986 @deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Has_Force_Mode (obj)
43988 @*Return type: 
43989 TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Boolean}, bound= c_bool@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_bool})
43991 @end deffn
43993 @geindex Set_Has_Force_Mode() (in module pyGHDL.libghdl.vhdl.nodes)
43994 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Has_Force_Mode}@anchor{fda}
43995 @deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Has_Force_Mode (obj, value)
43997 @*Return type: 
43998 None@footnote{https://docs.python.org/3.6/library/constants.html#None}
44000 @end deffn
44002 @geindex Get_Sensitivity_List() (in module pyGHDL.libghdl.vhdl.nodes)
44003 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Sensitivity_List}@anchor{fdb}
44004 @deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Sensitivity_List (obj)
44006 @*Return type: 
44007 TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
44009 @end deffn
44011 @geindex Set_Sensitivity_List() (in module pyGHDL.libghdl.vhdl.nodes)
44012 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Sensitivity_List}@anchor{fdc}
44013 @deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Sensitivity_List (obj, value)
44015 @*Return type: 
44016 None@footnote{https://docs.python.org/3.6/library/constants.html#None}
44018 @end deffn
44020 @geindex Get_Process_Origin() (in module pyGHDL.libghdl.vhdl.nodes)
44021 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Process_Origin}@anchor{fdd}
44022 @deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Process_Origin (obj)
44024 @*Return type: 
44025 TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
44027 @end deffn
44029 @geindex Set_Process_Origin() (in module pyGHDL.libghdl.vhdl.nodes)
44030 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Process_Origin}@anchor{fde}
44031 @deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Process_Origin (obj, value)
44033 @*Return type: 
44034 None@footnote{https://docs.python.org/3.6/library/constants.html#None}
44036 @end deffn
44038 @geindex Get_Package_Origin() (in module pyGHDL.libghdl.vhdl.nodes)
44039 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Package_Origin}@anchor{fdf}
44040 @deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Package_Origin (obj)
44042 @*Return type: 
44043 TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
44045 @end deffn
44047 @geindex Set_Package_Origin() (in module pyGHDL.libghdl.vhdl.nodes)
44048 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Package_Origin}@anchor{fe0}
44049 @deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Package_Origin (obj, value)
44051 @*Return type: 
44052 None@footnote{https://docs.python.org/3.6/library/constants.html#None}
44054 @end deffn
44056 @geindex Get_Condition_Clause() (in module pyGHDL.libghdl.vhdl.nodes)
44057 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Condition_Clause}@anchor{fe1}
44058 @deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Condition_Clause (obj)
44060 @*Return type: 
44061 TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
44063 @end deffn
44065 @geindex Set_Condition_Clause() (in module pyGHDL.libghdl.vhdl.nodes)
44066 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Condition_Clause}@anchor{fe2}
44067 @deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Condition_Clause (obj, value)
44069 @*Return type: 
44070 None@footnote{https://docs.python.org/3.6/library/constants.html#None}
44072 @end deffn
44074 @geindex Get_Break_Element() (in module pyGHDL.libghdl.vhdl.nodes)
44075 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Break_Element}@anchor{fe3}
44076 @deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Break_Element (obj)
44078 @*Return type: 
44079 TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
44081 @end deffn
44083 @geindex Set_Break_Element() (in module pyGHDL.libghdl.vhdl.nodes)
44084 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Break_Element}@anchor{fe4}
44085 @deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Break_Element (obj, value)
44087 @*Return type: 
44088 None@footnote{https://docs.python.org/3.6/library/constants.html#None}
44090 @end deffn
44092 @geindex Get_Selector_Quantity() (in module pyGHDL.libghdl.vhdl.nodes)
44093 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Selector_Quantity}@anchor{fe5}
44094 @deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Selector_Quantity (obj)
44096 @*Return type: 
44097 TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
44099 @end deffn
44101 @geindex Set_Selector_Quantity() (in module pyGHDL.libghdl.vhdl.nodes)
44102 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Selector_Quantity}@anchor{fe6}
44103 @deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Selector_Quantity (obj, value)
44105 @*Return type: 
44106 None@footnote{https://docs.python.org/3.6/library/constants.html#None}
44108 @end deffn
44110 @geindex Get_Break_Quantity() (in module pyGHDL.libghdl.vhdl.nodes)
44111 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Break_Quantity}@anchor{fe7}
44112 @deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Break_Quantity (obj)
44114 @*Return type: 
44115 TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
44117 @end deffn
44119 @geindex Set_Break_Quantity() (in module pyGHDL.libghdl.vhdl.nodes)
44120 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Break_Quantity}@anchor{fe8}
44121 @deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Break_Quantity (obj, value)
44123 @*Return type: 
44124 None@footnote{https://docs.python.org/3.6/library/constants.html#None}
44126 @end deffn
44128 @geindex Get_Timeout_Clause() (in module pyGHDL.libghdl.vhdl.nodes)
44129 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Timeout_Clause}@anchor{fe9}
44130 @deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Timeout_Clause (obj)
44132 @*Return type: 
44133 TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
44135 @end deffn
44137 @geindex Set_Timeout_Clause() (in module pyGHDL.libghdl.vhdl.nodes)
44138 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Timeout_Clause}@anchor{fea}
44139 @deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Timeout_Clause (obj, value)
44141 @*Return type: 
44142 None@footnote{https://docs.python.org/3.6/library/constants.html#None}
44144 @end deffn
44146 @geindex Get_Postponed_Flag() (in module pyGHDL.libghdl.vhdl.nodes)
44147 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Postponed_Flag}@anchor{feb}
44148 @deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Postponed_Flag (obj)
44150 @*Return type: 
44151 TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Boolean}, bound= c_bool@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_bool})
44153 @end deffn
44155 @geindex Set_Postponed_Flag() (in module pyGHDL.libghdl.vhdl.nodes)
44156 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Postponed_Flag}@anchor{fec}
44157 @deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Postponed_Flag (obj, value)
44159 @*Return type: 
44160 None@footnote{https://docs.python.org/3.6/library/constants.html#None}
44162 @end deffn
44164 @geindex Get_Callees_List() (in module pyGHDL.libghdl.vhdl.nodes)
44165 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Callees_List}@anchor{fed}
44166 @deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Callees_List (obj)
44168 @*Return type: 
44169 TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
44171 @end deffn
44173 @geindex Set_Callees_List() (in module pyGHDL.libghdl.vhdl.nodes)
44174 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Callees_List}@anchor{fee}
44175 @deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Callees_List (obj, value)
44177 @*Return type: 
44178 None@footnote{https://docs.python.org/3.6/library/constants.html#None}
44180 @end deffn
44182 @geindex Get_Passive_Flag() (in module pyGHDL.libghdl.vhdl.nodes)
44183 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Passive_Flag}@anchor{fef}
44184 @deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Passive_Flag (obj)
44186 @*Return type: 
44187 TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Boolean}, bound= c_bool@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_bool})
44189 @end deffn
44191 @geindex Set_Passive_Flag() (in module pyGHDL.libghdl.vhdl.nodes)
44192 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Passive_Flag}@anchor{ff0}
44193 @deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Passive_Flag (obj, value)
44195 @*Return type: 
44196 None@footnote{https://docs.python.org/3.6/library/constants.html#None}
44198 @end deffn
44200 @geindex Get_Resolution_Function_Flag() (in module pyGHDL.libghdl.vhdl.nodes)
44201 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Resolution_Function_Flag}@anchor{ff1}
44202 @deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Resolution_Function_Flag (obj)
44204 @*Return type: 
44205 TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Boolean}, bound= c_bool@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_bool})
44207 @end deffn
44209 @geindex Set_Resolution_Function_Flag() (in module pyGHDL.libghdl.vhdl.nodes)
44210 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Resolution_Function_Flag}@anchor{ff2}
44211 @deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Resolution_Function_Flag (obj, value)
44213 @*Return type: 
44214 None@footnote{https://docs.python.org/3.6/library/constants.html#None}
44216 @end deffn
44218 @geindex Get_Wait_State() (in module pyGHDL.libghdl.vhdl.nodes)
44219 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Wait_State}@anchor{ff3}
44220 @deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Wait_State (obj)
44222 @*Return type: 
44223 @ref{a29,,TriStateType}
44225 @end deffn
44227 @geindex Set_Wait_State() (in module pyGHDL.libghdl.vhdl.nodes)
44228 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Wait_State}@anchor{ff4}
44229 @deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Wait_State (obj, value)
44231 @*Return type: 
44232 None@footnote{https://docs.python.org/3.6/library/constants.html#None}
44234 @end deffn
44236 @geindex Get_All_Sensitized_State() (in module pyGHDL.libghdl.vhdl.nodes)
44237 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_All_Sensitized_State}@anchor{ff5}
44238 @deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_All_Sensitized_State (obj)
44240 @*Return type: 
44241 TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
44243 @end deffn
44245 @geindex Set_All_Sensitized_State() (in module pyGHDL.libghdl.vhdl.nodes)
44246 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_All_Sensitized_State}@anchor{ff6}
44247 @deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_All_Sensitized_State (obj, value)
44249 @*Return type: 
44250 None@footnote{https://docs.python.org/3.6/library/constants.html#None}
44252 @end deffn
44254 @geindex Get_Seen_Flag() (in module pyGHDL.libghdl.vhdl.nodes)
44255 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Seen_Flag}@anchor{ff7}
44256 @deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Seen_Flag (obj)
44258 @*Return type: 
44259 TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Boolean}, bound= c_bool@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_bool})
44261 @end deffn
44263 @geindex Set_Seen_Flag() (in module pyGHDL.libghdl.vhdl.nodes)
44264 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Seen_Flag}@anchor{ff8}
44265 @deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Seen_Flag (obj, value)
44267 @*Return type: 
44268 None@footnote{https://docs.python.org/3.6/library/constants.html#None}
44270 @end deffn
44272 @geindex Get_Pure_Flag() (in module pyGHDL.libghdl.vhdl.nodes)
44273 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Pure_Flag}@anchor{ff9}
44274 @deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Pure_Flag (obj)
44276 @*Return type: 
44277 TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Boolean}, bound= c_bool@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_bool})
44279 @end deffn
44281 @geindex Set_Pure_Flag() (in module pyGHDL.libghdl.vhdl.nodes)
44282 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Pure_Flag}@anchor{ffa}
44283 @deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Pure_Flag (obj, value)
44285 @*Return type: 
44286 None@footnote{https://docs.python.org/3.6/library/constants.html#None}
44288 @end deffn
44290 @geindex Get_Foreign_Flag() (in module pyGHDL.libghdl.vhdl.nodes)
44291 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Foreign_Flag}@anchor{ffb}
44292 @deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Foreign_Flag (obj)
44294 @*Return type: 
44295 TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Boolean}, bound= c_bool@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_bool})
44297 @end deffn
44299 @geindex Set_Foreign_Flag() (in module pyGHDL.libghdl.vhdl.nodes)
44300 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Foreign_Flag}@anchor{ffc}
44301 @deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Foreign_Flag (obj, value)
44303 @*Return type: 
44304 None@footnote{https://docs.python.org/3.6/library/constants.html#None}
44306 @end deffn
44308 @geindex Get_Resolved_Flag() (in module pyGHDL.libghdl.vhdl.nodes)
44309 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Resolved_Flag}@anchor{ffd}
44310 @deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Resolved_Flag (obj)
44312 @*Return type: 
44313 TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Boolean}, bound= c_bool@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_bool})
44315 @end deffn
44317 @geindex Set_Resolved_Flag() (in module pyGHDL.libghdl.vhdl.nodes)
44318 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Resolved_Flag}@anchor{ffe}
44319 @deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Resolved_Flag (obj, value)
44321 @*Return type: 
44322 None@footnote{https://docs.python.org/3.6/library/constants.html#None}
44324 @end deffn
44326 @geindex Get_Signal_Type_Flag() (in module pyGHDL.libghdl.vhdl.nodes)
44327 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Signal_Type_Flag}@anchor{fff}
44328 @deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Signal_Type_Flag (obj)
44330 @*Return type: 
44331 TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Boolean}, bound= c_bool@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_bool})
44333 @end deffn
44335 @geindex Set_Signal_Type_Flag() (in module pyGHDL.libghdl.vhdl.nodes)
44336 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Signal_Type_Flag}@anchor{1000}
44337 @deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Signal_Type_Flag (obj, value)
44339 @*Return type: 
44340 None@footnote{https://docs.python.org/3.6/library/constants.html#None}
44342 @end deffn
44344 @geindex Get_Has_Signal_Flag() (in module pyGHDL.libghdl.vhdl.nodes)
44345 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Has_Signal_Flag}@anchor{1001}
44346 @deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Has_Signal_Flag (obj)
44348 @*Return type: 
44349 TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Boolean}, bound= c_bool@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_bool})
44351 @end deffn
44353 @geindex Set_Has_Signal_Flag() (in module pyGHDL.libghdl.vhdl.nodes)
44354 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Has_Signal_Flag}@anchor{1002}
44355 @deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Has_Signal_Flag (obj, value)
44357 @*Return type: 
44358 None@footnote{https://docs.python.org/3.6/library/constants.html#None}
44360 @end deffn
44362 @geindex Get_Purity_State() (in module pyGHDL.libghdl.vhdl.nodes)
44363 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Purity_State}@anchor{1003}
44364 @deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Purity_State (obj)
44366 @*Return type: 
44367 TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
44369 @end deffn
44371 @geindex Set_Purity_State() (in module pyGHDL.libghdl.vhdl.nodes)
44372 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Purity_State}@anchor{1004}
44373 @deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Purity_State (obj, value)
44375 @*Return type: 
44376 None@footnote{https://docs.python.org/3.6/library/constants.html#None}
44378 @end deffn
44380 @geindex Get_Elab_Flag() (in module pyGHDL.libghdl.vhdl.nodes)
44381 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Elab_Flag}@anchor{1005}
44382 @deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Elab_Flag (obj)
44384 @*Return type: 
44385 TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Boolean}, bound= c_bool@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_bool})
44387 @end deffn
44389 @geindex Set_Elab_Flag() (in module pyGHDL.libghdl.vhdl.nodes)
44390 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Elab_Flag}@anchor{1006}
44391 @deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Elab_Flag (obj, value)
44393 @*Return type: 
44394 None@footnote{https://docs.python.org/3.6/library/constants.html#None}
44396 @end deffn
44398 @geindex Get_Vendor_Library_Flag() (in module pyGHDL.libghdl.vhdl.nodes)
44399 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Vendor_Library_Flag}@anchor{1007}
44400 @deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Vendor_Library_Flag (obj)
44402 @*Return type: 
44403 TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Boolean}, bound= c_bool@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_bool})
44405 @end deffn
44407 @geindex Set_Vendor_Library_Flag() (in module pyGHDL.libghdl.vhdl.nodes)
44408 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Vendor_Library_Flag}@anchor{1008}
44409 @deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Vendor_Library_Flag (obj, value)
44411 @*Return type: 
44412 None@footnote{https://docs.python.org/3.6/library/constants.html#None}
44414 @end deffn
44416 @geindex Get_Configuration_Mark_Flag() (in module pyGHDL.libghdl.vhdl.nodes)
44417 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Configuration_Mark_Flag}@anchor{1009}
44418 @deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Configuration_Mark_Flag (obj)
44420 @*Return type: 
44421 TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Boolean}, bound= c_bool@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_bool})
44423 @end deffn
44425 @geindex Set_Configuration_Mark_Flag() (in module pyGHDL.libghdl.vhdl.nodes)
44426 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Configuration_Mark_Flag}@anchor{100a}
44427 @deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Configuration_Mark_Flag (obj, value)
44429 @*Return type: 
44430 None@footnote{https://docs.python.org/3.6/library/constants.html#None}
44432 @end deffn
44434 @geindex Get_Configuration_Done_Flag() (in module pyGHDL.libghdl.vhdl.nodes)
44435 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Configuration_Done_Flag}@anchor{100b}
44436 @deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Configuration_Done_Flag (obj)
44438 @*Return type: 
44439 TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Boolean}, bound= c_bool@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_bool})
44441 @end deffn
44443 @geindex Set_Configuration_Done_Flag() (in module pyGHDL.libghdl.vhdl.nodes)
44444 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Configuration_Done_Flag}@anchor{100c}
44445 @deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Configuration_Done_Flag (obj, value)
44447 @*Return type: 
44448 None@footnote{https://docs.python.org/3.6/library/constants.html#None}
44450 @end deffn
44452 @geindex Get_Index_Constraint_Flag() (in module pyGHDL.libghdl.vhdl.nodes)
44453 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Index_Constraint_Flag}@anchor{100d}
44454 @deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Index_Constraint_Flag (obj)
44456 @*Return type: 
44457 TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Boolean}, bound= c_bool@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_bool})
44459 @end deffn
44461 @geindex Set_Index_Constraint_Flag() (in module pyGHDL.libghdl.vhdl.nodes)
44462 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Index_Constraint_Flag}@anchor{100e}
44463 @deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Index_Constraint_Flag (obj, value)
44465 @*Return type: 
44466 None@footnote{https://docs.python.org/3.6/library/constants.html#None}
44468 @end deffn
44470 @geindex Get_Hide_Implicit_Flag() (in module pyGHDL.libghdl.vhdl.nodes)
44471 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Hide_Implicit_Flag}@anchor{100f}
44472 @deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Hide_Implicit_Flag (obj)
44474 @*Return type: 
44475 TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Boolean}, bound= c_bool@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_bool})
44477 @end deffn
44479 @geindex Set_Hide_Implicit_Flag() (in module pyGHDL.libghdl.vhdl.nodes)
44480 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Hide_Implicit_Flag}@anchor{1010}
44481 @deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Hide_Implicit_Flag (obj, value)
44483 @*Return type: 
44484 None@footnote{https://docs.python.org/3.6/library/constants.html#None}
44486 @end deffn
44488 @geindex Get_Assertion_Condition() (in module pyGHDL.libghdl.vhdl.nodes)
44489 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Assertion_Condition}@anchor{1011}
44490 @deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Assertion_Condition (obj)
44492 @*Return type: 
44493 TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
44495 @end deffn
44497 @geindex Set_Assertion_Condition() (in module pyGHDL.libghdl.vhdl.nodes)
44498 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Assertion_Condition}@anchor{1012}
44499 @deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Assertion_Condition (obj, value)
44501 @*Return type: 
44502 None@footnote{https://docs.python.org/3.6/library/constants.html#None}
44504 @end deffn
44506 @geindex Get_Report_Expression() (in module pyGHDL.libghdl.vhdl.nodes)
44507 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Report_Expression}@anchor{1013}
44508 @deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Report_Expression (obj)
44510 @*Return type: 
44511 TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
44513 @end deffn
44515 @geindex Set_Report_Expression() (in module pyGHDL.libghdl.vhdl.nodes)
44516 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Report_Expression}@anchor{1014}
44517 @deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Report_Expression (obj, value)
44519 @*Return type: 
44520 None@footnote{https://docs.python.org/3.6/library/constants.html#None}
44522 @end deffn
44524 @geindex Get_Severity_Expression() (in module pyGHDL.libghdl.vhdl.nodes)
44525 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Severity_Expression}@anchor{1015}
44526 @deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Severity_Expression (obj)
44528 @*Return type: 
44529 TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
44531 @end deffn
44533 @geindex Set_Severity_Expression() (in module pyGHDL.libghdl.vhdl.nodes)
44534 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Severity_Expression}@anchor{1016}
44535 @deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Severity_Expression (obj, value)
44537 @*Return type: 
44538 None@footnote{https://docs.python.org/3.6/library/constants.html#None}
44540 @end deffn
44542 @geindex Get_Instantiated_Unit() (in module pyGHDL.libghdl.vhdl.nodes)
44543 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Instantiated_Unit}@anchor{1017}
44544 @deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Instantiated_Unit (obj)
44546 @*Return type: 
44547 TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
44549 @end deffn
44551 @geindex Set_Instantiated_Unit() (in module pyGHDL.libghdl.vhdl.nodes)
44552 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Instantiated_Unit}@anchor{1018}
44553 @deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Instantiated_Unit (obj, value)
44555 @*Return type: 
44556 None@footnote{https://docs.python.org/3.6/library/constants.html#None}
44558 @end deffn
44560 @geindex Get_Generic_Map_Aspect_Chain() (in module pyGHDL.libghdl.vhdl.nodes)
44561 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Generic_Map_Aspect_Chain}@anchor{1019}
44562 @deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Generic_Map_Aspect_Chain (obj)
44564 @*Return type: 
44565 TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
44567 @end deffn
44569 @geindex Set_Generic_Map_Aspect_Chain() (in module pyGHDL.libghdl.vhdl.nodes)
44570 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Generic_Map_Aspect_Chain}@anchor{101a}
44571 @deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Generic_Map_Aspect_Chain (obj, value)
44573 @*Return type: 
44574 None@footnote{https://docs.python.org/3.6/library/constants.html#None}
44576 @end deffn
44578 @geindex Get_Port_Map_Aspect_Chain() (in module pyGHDL.libghdl.vhdl.nodes)
44579 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Port_Map_Aspect_Chain}@anchor{101b}
44580 @deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Port_Map_Aspect_Chain (obj)
44582 @*Return type: 
44583 TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
44585 @end deffn
44587 @geindex Set_Port_Map_Aspect_Chain() (in module pyGHDL.libghdl.vhdl.nodes)
44588 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Port_Map_Aspect_Chain}@anchor{101c}
44589 @deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Port_Map_Aspect_Chain (obj, value)
44591 @*Return type: 
44592 None@footnote{https://docs.python.org/3.6/library/constants.html#None}
44594 @end deffn
44596 @geindex Get_Configuration_Name() (in module pyGHDL.libghdl.vhdl.nodes)
44597 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Configuration_Name}@anchor{101d}
44598 @deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Configuration_Name (obj)
44600 @*Return type: 
44601 TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
44603 @end deffn
44605 @geindex Set_Configuration_Name() (in module pyGHDL.libghdl.vhdl.nodes)
44606 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Configuration_Name}@anchor{101e}
44607 @deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Configuration_Name (obj, value)
44609 @*Return type: 
44610 None@footnote{https://docs.python.org/3.6/library/constants.html#None}
44612 @end deffn
44614 @geindex Get_Component_Configuration() (in module pyGHDL.libghdl.vhdl.nodes)
44615 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Component_Configuration}@anchor{101f}
44616 @deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Component_Configuration (obj)
44618 @*Return type: 
44619 TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
44621 @end deffn
44623 @geindex Set_Component_Configuration() (in module pyGHDL.libghdl.vhdl.nodes)
44624 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Component_Configuration}@anchor{1020}
44625 @deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Component_Configuration (obj, value)
44627 @*Return type: 
44628 None@footnote{https://docs.python.org/3.6/library/constants.html#None}
44630 @end deffn
44632 @geindex Get_Configuration_Specification() (in module pyGHDL.libghdl.vhdl.nodes)
44633 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Configuration_Specification}@anchor{1021}
44634 @deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Configuration_Specification (obj)
44636 @*Return type: 
44637 TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
44639 @end deffn
44641 @geindex Set_Configuration_Specification() (in module pyGHDL.libghdl.vhdl.nodes)
44642 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Configuration_Specification}@anchor{1022}
44643 @deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Configuration_Specification (obj, value)
44645 @*Return type: 
44646 None@footnote{https://docs.python.org/3.6/library/constants.html#None}
44648 @end deffn
44650 @geindex Get_Default_Binding_Indication() (in module pyGHDL.libghdl.vhdl.nodes)
44651 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Default_Binding_Indication}@anchor{1023}
44652 @deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Default_Binding_Indication (obj)
44654 @*Return type: 
44655 TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
44657 @end deffn
44659 @geindex Set_Default_Binding_Indication() (in module pyGHDL.libghdl.vhdl.nodes)
44660 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Default_Binding_Indication}@anchor{1024}
44661 @deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Default_Binding_Indication (obj, value)
44663 @*Return type: 
44664 None@footnote{https://docs.python.org/3.6/library/constants.html#None}
44666 @end deffn
44668 @geindex Get_Default_Configuration_Declaration() (in module pyGHDL.libghdl.vhdl.nodes)
44669 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Default_Configuration_Declaration}@anchor{1025}
44670 @deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Default_Configuration_Declaration (obj)
44672 @*Return type: 
44673 TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
44675 @end deffn
44677 @geindex Set_Default_Configuration_Declaration() (in module pyGHDL.libghdl.vhdl.nodes)
44678 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Default_Configuration_Declaration}@anchor{1026}
44679 @deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Default_Configuration_Declaration (obj, value)
44681 @*Return type: 
44682 None@footnote{https://docs.python.org/3.6/library/constants.html#None}
44684 @end deffn
44686 @geindex Get_Expression() (in module pyGHDL.libghdl.vhdl.nodes)
44687 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Expression}@anchor{1027}
44688 @deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Expression (obj)
44690 @*Return type: 
44691 TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
44693 @end deffn
44695 @geindex Set_Expression() (in module pyGHDL.libghdl.vhdl.nodes)
44696 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Expression}@anchor{1028}
44697 @deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Expression (obj, value)
44699 @*Return type: 
44700 None@footnote{https://docs.python.org/3.6/library/constants.html#None}
44702 @end deffn
44704 @geindex Get_Conditional_Expression_Chain() (in module pyGHDL.libghdl.vhdl.nodes)
44705 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Conditional_Expression_Chain}@anchor{1029}
44706 @deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Conditional_Expression_Chain (obj)
44708 @*Return type: 
44709 TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
44711 @end deffn
44713 @geindex Set_Conditional_Expression_Chain() (in module pyGHDL.libghdl.vhdl.nodes)
44714 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Conditional_Expression_Chain}@anchor{102a}
44715 @deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Conditional_Expression_Chain (obj, value)
44717 @*Return type: 
44718 None@footnote{https://docs.python.org/3.6/library/constants.html#None}
44720 @end deffn
44722 @geindex Get_Allocator_Designated_Type() (in module pyGHDL.libghdl.vhdl.nodes)
44723 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Allocator_Designated_Type}@anchor{102b}
44724 @deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Allocator_Designated_Type (obj)
44726 @*Return type: 
44727 TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
44729 @end deffn
44731 @geindex Set_Allocator_Designated_Type() (in module pyGHDL.libghdl.vhdl.nodes)
44732 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Allocator_Designated_Type}@anchor{102c}
44733 @deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Allocator_Designated_Type (obj, value)
44735 @*Return type: 
44736 None@footnote{https://docs.python.org/3.6/library/constants.html#None}
44738 @end deffn
44740 @geindex Get_Selected_Waveform_Chain() (in module pyGHDL.libghdl.vhdl.nodes)
44741 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Selected_Waveform_Chain}@anchor{102d}
44742 @deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Selected_Waveform_Chain (obj)
44744 @*Return type: 
44745 TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
44747 @end deffn
44749 @geindex Set_Selected_Waveform_Chain() (in module pyGHDL.libghdl.vhdl.nodes)
44750 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Selected_Waveform_Chain}@anchor{102e}
44751 @deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Selected_Waveform_Chain (obj, value)
44753 @*Return type: 
44754 None@footnote{https://docs.python.org/3.6/library/constants.html#None}
44756 @end deffn
44758 @geindex Get_Conditional_Waveform_Chain() (in module pyGHDL.libghdl.vhdl.nodes)
44759 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Conditional_Waveform_Chain}@anchor{102f}
44760 @deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Conditional_Waveform_Chain (obj)
44762 @*Return type: 
44763 TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
44765 @end deffn
44767 @geindex Set_Conditional_Waveform_Chain() (in module pyGHDL.libghdl.vhdl.nodes)
44768 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Conditional_Waveform_Chain}@anchor{1030}
44769 @deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Conditional_Waveform_Chain (obj, value)
44771 @*Return type: 
44772 None@footnote{https://docs.python.org/3.6/library/constants.html#None}
44774 @end deffn
44776 @geindex Get_Guard_Expression() (in module pyGHDL.libghdl.vhdl.nodes)
44777 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Guard_Expression}@anchor{1031}
44778 @deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Guard_Expression (obj)
44780 @*Return type: 
44781 TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
44783 @end deffn
44785 @geindex Set_Guard_Expression() (in module pyGHDL.libghdl.vhdl.nodes)
44786 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Guard_Expression}@anchor{1032}
44787 @deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Guard_Expression (obj, value)
44789 @*Return type: 
44790 None@footnote{https://docs.python.org/3.6/library/constants.html#None}
44792 @end deffn
44794 @geindex Get_Guard_Decl() (in module pyGHDL.libghdl.vhdl.nodes)
44795 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Guard_Decl}@anchor{1033}
44796 @deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Guard_Decl (obj)
44798 @*Return type: 
44799 TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
44801 @end deffn
44803 @geindex Set_Guard_Decl() (in module pyGHDL.libghdl.vhdl.nodes)
44804 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Guard_Decl}@anchor{1034}
44805 @deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Guard_Decl (obj, value)
44807 @*Return type: 
44808 None@footnote{https://docs.python.org/3.6/library/constants.html#None}
44810 @end deffn
44812 @geindex Get_Guard_Sensitivity_List() (in module pyGHDL.libghdl.vhdl.nodes)
44813 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Guard_Sensitivity_List}@anchor{1035}
44814 @deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Guard_Sensitivity_List (obj)
44816 @*Return type: 
44817 TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
44819 @end deffn
44821 @geindex Set_Guard_Sensitivity_List() (in module pyGHDL.libghdl.vhdl.nodes)
44822 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Guard_Sensitivity_List}@anchor{1036}
44823 @deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Guard_Sensitivity_List (obj, value)
44825 @*Return type: 
44826 None@footnote{https://docs.python.org/3.6/library/constants.html#None}
44828 @end deffn
44830 @geindex Get_Signal_Attribute_Chain() (in module pyGHDL.libghdl.vhdl.nodes)
44831 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Signal_Attribute_Chain}@anchor{1037}
44832 @deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Signal_Attribute_Chain (obj)
44834 @*Return type: 
44835 TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
44837 @end deffn
44839 @geindex Set_Signal_Attribute_Chain() (in module pyGHDL.libghdl.vhdl.nodes)
44840 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Signal_Attribute_Chain}@anchor{1038}
44841 @deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Signal_Attribute_Chain (obj, value)
44843 @*Return type: 
44844 None@footnote{https://docs.python.org/3.6/library/constants.html#None}
44846 @end deffn
44848 @geindex Get_Block_Block_Configuration() (in module pyGHDL.libghdl.vhdl.nodes)
44849 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Block_Block_Configuration}@anchor{1039}
44850 @deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Block_Block_Configuration (obj)
44852 @*Return type: 
44853 TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
44855 @end deffn
44857 @geindex Set_Block_Block_Configuration() (in module pyGHDL.libghdl.vhdl.nodes)
44858 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Block_Block_Configuration}@anchor{103a}
44859 @deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Block_Block_Configuration (obj, value)
44861 @*Return type: 
44862 None@footnote{https://docs.python.org/3.6/library/constants.html#None}
44864 @end deffn
44866 @geindex Get_Package_Header() (in module pyGHDL.libghdl.vhdl.nodes)
44867 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Package_Header}@anchor{103b}
44868 @deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Package_Header (obj)
44870 @*Return type: 
44871 TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
44873 @end deffn
44875 @geindex Set_Package_Header() (in module pyGHDL.libghdl.vhdl.nodes)
44876 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Package_Header}@anchor{103c}
44877 @deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Package_Header (obj, value)
44879 @*Return type: 
44880 None@footnote{https://docs.python.org/3.6/library/constants.html#None}
44882 @end deffn
44884 @geindex Get_Block_Header() (in module pyGHDL.libghdl.vhdl.nodes)
44885 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Block_Header}@anchor{103d}
44886 @deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Block_Header (obj)
44888 @*Return type: 
44889 TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
44891 @end deffn
44893 @geindex Set_Block_Header() (in module pyGHDL.libghdl.vhdl.nodes)
44894 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Block_Header}@anchor{103e}
44895 @deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Block_Header (obj, value)
44897 @*Return type: 
44898 None@footnote{https://docs.python.org/3.6/library/constants.html#None}
44900 @end deffn
44902 @geindex Get_Uninstantiated_Package_Name() (in module pyGHDL.libghdl.vhdl.nodes)
44903 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Uninstantiated_Package_Name}@anchor{103f}
44904 @deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Uninstantiated_Package_Name (obj)
44906 @*Return type: 
44907 TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
44909 @end deffn
44911 @geindex Set_Uninstantiated_Package_Name() (in module pyGHDL.libghdl.vhdl.nodes)
44912 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Uninstantiated_Package_Name}@anchor{1040}
44913 @deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Uninstantiated_Package_Name (obj, value)
44915 @*Return type: 
44916 None@footnote{https://docs.python.org/3.6/library/constants.html#None}
44918 @end deffn
44920 @geindex Get_Uninstantiated_Package_Decl() (in module pyGHDL.libghdl.vhdl.nodes)
44921 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Uninstantiated_Package_Decl}@anchor{1041}
44922 @deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Uninstantiated_Package_Decl (obj)
44924 @*Return type: 
44925 TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
44927 @end deffn
44929 @geindex Set_Uninstantiated_Package_Decl() (in module pyGHDL.libghdl.vhdl.nodes)
44930 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Uninstantiated_Package_Decl}@anchor{1042}
44931 @deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Uninstantiated_Package_Decl (obj, value)
44933 @*Return type: 
44934 None@footnote{https://docs.python.org/3.6/library/constants.html#None}
44936 @end deffn
44938 @geindex Get_Instance_Source_File() (in module pyGHDL.libghdl.vhdl.nodes)
44939 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Instance_Source_File}@anchor{1043}
44940 @deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Instance_Source_File (obj)
44942 @*Return type: 
44943 TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{SourceFileEntry}, bound= c_uint@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_uint})
44945 @end deffn
44947 @geindex Set_Instance_Source_File() (in module pyGHDL.libghdl.vhdl.nodes)
44948 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Instance_Source_File}@anchor{1044}
44949 @deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Instance_Source_File (obj, value)
44951 @*Return type: 
44952 None@footnote{https://docs.python.org/3.6/library/constants.html#None}
44954 @end deffn
44956 @geindex Get_Generate_Block_Configuration() (in module pyGHDL.libghdl.vhdl.nodes)
44957 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Generate_Block_Configuration}@anchor{1045}
44958 @deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Generate_Block_Configuration (obj)
44960 @*Return type: 
44961 TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
44963 @end deffn
44965 @geindex Set_Generate_Block_Configuration() (in module pyGHDL.libghdl.vhdl.nodes)
44966 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Generate_Block_Configuration}@anchor{1046}
44967 @deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Generate_Block_Configuration (obj, value)
44969 @*Return type: 
44970 None@footnote{https://docs.python.org/3.6/library/constants.html#None}
44972 @end deffn
44974 @geindex Get_Generate_Statement_Body() (in module pyGHDL.libghdl.vhdl.nodes)
44975 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Generate_Statement_Body}@anchor{1047}
44976 @deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Generate_Statement_Body (obj)
44978 @*Return type: 
44979 TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
44981 @end deffn
44983 @geindex Set_Generate_Statement_Body() (in module pyGHDL.libghdl.vhdl.nodes)
44984 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Generate_Statement_Body}@anchor{1048}
44985 @deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Generate_Statement_Body (obj, value)
44987 @*Return type: 
44988 None@footnote{https://docs.python.org/3.6/library/constants.html#None}
44990 @end deffn
44992 @geindex Get_Alternative_Label() (in module pyGHDL.libghdl.vhdl.nodes)
44993 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Alternative_Label}@anchor{1049}
44994 @deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Alternative_Label (obj)
44996 @*Return type: 
44997 TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{NameId}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
44999 @end deffn
45001 @geindex Set_Alternative_Label() (in module pyGHDL.libghdl.vhdl.nodes)
45002 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Alternative_Label}@anchor{104a}
45003 @deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Alternative_Label (obj, value)
45005 @*Return type: 
45006 None@footnote{https://docs.python.org/3.6/library/constants.html#None}
45008 @end deffn
45010 @geindex Get_Generate_Else_Clause() (in module pyGHDL.libghdl.vhdl.nodes)
45011 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Generate_Else_Clause}@anchor{104b}
45012 @deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Generate_Else_Clause (obj)
45014 @*Return type: 
45015 TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
45017 @end deffn
45019 @geindex Set_Generate_Else_Clause() (in module pyGHDL.libghdl.vhdl.nodes)
45020 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Generate_Else_Clause}@anchor{104c}
45021 @deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Generate_Else_Clause (obj, value)
45023 @*Return type: 
45024 None@footnote{https://docs.python.org/3.6/library/constants.html#None}
45026 @end deffn
45028 @geindex Get_Condition() (in module pyGHDL.libghdl.vhdl.nodes)
45029 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Condition}@anchor{104d}
45030 @deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Condition (obj)
45032 @*Return type: 
45033 TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
45035 @end deffn
45037 @geindex Set_Condition() (in module pyGHDL.libghdl.vhdl.nodes)
45038 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Condition}@anchor{104e}
45039 @deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Condition (obj, value)
45041 @*Return type: 
45042 None@footnote{https://docs.python.org/3.6/library/constants.html#None}
45044 @end deffn
45046 @geindex Get_Else_Clause() (in module pyGHDL.libghdl.vhdl.nodes)
45047 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Else_Clause}@anchor{104f}
45048 @deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Else_Clause (obj)
45050 @*Return type: 
45051 TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
45053 @end deffn
45055 @geindex Set_Else_Clause() (in module pyGHDL.libghdl.vhdl.nodes)
45056 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Else_Clause}@anchor{1050}
45057 @deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Else_Clause (obj, value)
45059 @*Return type: 
45060 None@footnote{https://docs.python.org/3.6/library/constants.html#None}
45062 @end deffn
45064 @geindex Get_Parameter_Specification() (in module pyGHDL.libghdl.vhdl.nodes)
45065 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Parameter_Specification}@anchor{1051}
45066 @deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Parameter_Specification (obj)
45068 @*Return type: 
45069 TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
45071 @end deffn
45073 @geindex Set_Parameter_Specification() (in module pyGHDL.libghdl.vhdl.nodes)
45074 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Parameter_Specification}@anchor{1052}
45075 @deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Parameter_Specification (obj, value)
45077 @*Return type: 
45078 None@footnote{https://docs.python.org/3.6/library/constants.html#None}
45080 @end deffn
45082 @geindex Get_Parent() (in module pyGHDL.libghdl.vhdl.nodes)
45083 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Parent}@anchor{1053}
45084 @deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Parent (obj)
45086 @*Return type: 
45087 TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
45089 @end deffn
45091 @geindex Set_Parent() (in module pyGHDL.libghdl.vhdl.nodes)
45092 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Parent}@anchor{1054}
45093 @deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Parent (obj, value)
45095 @*Return type: 
45096 None@footnote{https://docs.python.org/3.6/library/constants.html#None}
45098 @end deffn
45100 @geindex Get_Loop_Label() (in module pyGHDL.libghdl.vhdl.nodes)
45101 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Loop_Label}@anchor{1055}
45102 @deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Loop_Label (obj)
45104 @*Return type: 
45105 TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
45107 @end deffn
45109 @geindex Set_Loop_Label() (in module pyGHDL.libghdl.vhdl.nodes)
45110 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Loop_Label}@anchor{1056}
45111 @deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Loop_Label (obj, value)
45113 @*Return type: 
45114 None@footnote{https://docs.python.org/3.6/library/constants.html#None}
45116 @end deffn
45118 @geindex Get_Exit_Flag() (in module pyGHDL.libghdl.vhdl.nodes)
45119 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Exit_Flag}@anchor{1057}
45120 @deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Exit_Flag (obj)
45122 @*Return type: 
45123 TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Boolean}, bound= c_bool@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_bool})
45125 @end deffn
45127 @geindex Set_Exit_Flag() (in module pyGHDL.libghdl.vhdl.nodes)
45128 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Exit_Flag}@anchor{1058}
45129 @deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Exit_Flag (obj, value)
45131 @*Return type: 
45132 None@footnote{https://docs.python.org/3.6/library/constants.html#None}
45134 @end deffn
45136 @geindex Get_Next_Flag() (in module pyGHDL.libghdl.vhdl.nodes)
45137 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Next_Flag}@anchor{1059}
45138 @deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Next_Flag (obj)
45140 @*Return type: 
45141 TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Boolean}, bound= c_bool@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_bool})
45143 @end deffn
45145 @geindex Set_Next_Flag() (in module pyGHDL.libghdl.vhdl.nodes)
45146 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Next_Flag}@anchor{105a}
45147 @deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Next_Flag (obj, value)
45149 @*Return type: 
45150 None@footnote{https://docs.python.org/3.6/library/constants.html#None}
45152 @end deffn
45154 @geindex Get_Component_Name() (in module pyGHDL.libghdl.vhdl.nodes)
45155 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Component_Name}@anchor{105b}
45156 @deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Component_Name (obj)
45158 @*Return type: 
45159 TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
45161 @end deffn
45163 @geindex Set_Component_Name() (in module pyGHDL.libghdl.vhdl.nodes)
45164 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Component_Name}@anchor{105c}
45165 @deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Component_Name (obj, value)
45167 @*Return type: 
45168 None@footnote{https://docs.python.org/3.6/library/constants.html#None}
45170 @end deffn
45172 @geindex Get_Instantiation_List() (in module pyGHDL.libghdl.vhdl.nodes)
45173 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Instantiation_List}@anchor{105d}
45174 @deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Instantiation_List (obj)
45176 @*Return type: 
45177 TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
45179 @end deffn
45181 @geindex Set_Instantiation_List() (in module pyGHDL.libghdl.vhdl.nodes)
45182 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Instantiation_List}@anchor{105e}
45183 @deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Instantiation_List (obj, value)
45185 @*Return type: 
45186 None@footnote{https://docs.python.org/3.6/library/constants.html#None}
45188 @end deffn
45190 @geindex Get_Entity_Aspect() (in module pyGHDL.libghdl.vhdl.nodes)
45191 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Entity_Aspect}@anchor{105f}
45192 @deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Entity_Aspect (obj)
45194 @*Return type: 
45195 TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
45197 @end deffn
45199 @geindex Set_Entity_Aspect() (in module pyGHDL.libghdl.vhdl.nodes)
45200 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Entity_Aspect}@anchor{1060}
45201 @deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Entity_Aspect (obj, value)
45203 @*Return type: 
45204 None@footnote{https://docs.python.org/3.6/library/constants.html#None}
45206 @end deffn
45208 @geindex Get_Default_Entity_Aspect() (in module pyGHDL.libghdl.vhdl.nodes)
45209 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Default_Entity_Aspect}@anchor{1061}
45210 @deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Default_Entity_Aspect (obj)
45212 @*Return type: 
45213 TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
45215 @end deffn
45217 @geindex Set_Default_Entity_Aspect() (in module pyGHDL.libghdl.vhdl.nodes)
45218 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Default_Entity_Aspect}@anchor{1062}
45219 @deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Default_Entity_Aspect (obj, value)
45221 @*Return type: 
45222 None@footnote{https://docs.python.org/3.6/library/constants.html#None}
45224 @end deffn
45226 @geindex Get_Binding_Indication() (in module pyGHDL.libghdl.vhdl.nodes)
45227 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Binding_Indication}@anchor{1063}
45228 @deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Binding_Indication (obj)
45230 @*Return type: 
45231 TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
45233 @end deffn
45235 @geindex Set_Binding_Indication() (in module pyGHDL.libghdl.vhdl.nodes)
45236 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Binding_Indication}@anchor{1064}
45237 @deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Binding_Indication (obj, value)
45239 @*Return type: 
45240 None@footnote{https://docs.python.org/3.6/library/constants.html#None}
45242 @end deffn
45244 @geindex Get_Named_Entity() (in module pyGHDL.libghdl.vhdl.nodes)
45245 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Named_Entity}@anchor{1065}
45246 @deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Named_Entity (obj)
45248 @*Return type: 
45249 TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
45251 @end deffn
45253 @geindex Set_Named_Entity() (in module pyGHDL.libghdl.vhdl.nodes)
45254 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Named_Entity}@anchor{1066}
45255 @deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Named_Entity (obj, value)
45257 @*Return type: 
45258 None@footnote{https://docs.python.org/3.6/library/constants.html#None}
45260 @end deffn
45262 @geindex Get_Referenced_Name() (in module pyGHDL.libghdl.vhdl.nodes)
45263 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Referenced_Name}@anchor{1067}
45264 @deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Referenced_Name (obj)
45266 @*Return type: 
45267 TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
45269 @end deffn
45271 @geindex Set_Referenced_Name() (in module pyGHDL.libghdl.vhdl.nodes)
45272 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Referenced_Name}@anchor{1068}
45273 @deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Referenced_Name (obj, value)
45275 @*Return type: 
45276 None@footnote{https://docs.python.org/3.6/library/constants.html#None}
45278 @end deffn
45280 @geindex Get_Expr_Staticness() (in module pyGHDL.libghdl.vhdl.nodes)
45281 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Expr_Staticness}@anchor{1069}
45282 @deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Expr_Staticness (obj)
45284 @*Return type: 
45285 TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
45287 @end deffn
45289 @geindex Set_Expr_Staticness() (in module pyGHDL.libghdl.vhdl.nodes)
45290 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Expr_Staticness}@anchor{106a}
45291 @deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Expr_Staticness (obj, value)
45293 @*Return type: 
45294 None@footnote{https://docs.python.org/3.6/library/constants.html#None}
45296 @end deffn
45298 @geindex Get_Scalar_Size() (in module pyGHDL.libghdl.vhdl.nodes)
45299 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Scalar_Size}@anchor{106b}
45300 @deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Scalar_Size (obj)
45302 @*Return type: 
45303 @ref{e3e,,ScalarSize}
45305 @end deffn
45307 @geindex Set_Scalar_Size() (in module pyGHDL.libghdl.vhdl.nodes)
45308 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Scalar_Size}@anchor{106c}
45309 @deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Scalar_Size (obj, value)
45311 @*Return type: 
45312 None@footnote{https://docs.python.org/3.6/library/constants.html#None}
45314 @end deffn
45316 @geindex Get_Error_Origin() (in module pyGHDL.libghdl.vhdl.nodes)
45317 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Error_Origin}@anchor{106d}
45318 @deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Error_Origin (obj)
45320 @*Return type: 
45321 TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
45323 @end deffn
45325 @geindex Set_Error_Origin() (in module pyGHDL.libghdl.vhdl.nodes)
45326 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Error_Origin}@anchor{106e}
45327 @deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Error_Origin (obj, value)
45329 @*Return type: 
45330 None@footnote{https://docs.python.org/3.6/library/constants.html#None}
45332 @end deffn
45334 @geindex Get_Operand() (in module pyGHDL.libghdl.vhdl.nodes)
45335 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Operand}@anchor{106f}
45336 @deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Operand (obj)
45338 @*Return type: 
45339 TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
45341 @end deffn
45343 @geindex Set_Operand() (in module pyGHDL.libghdl.vhdl.nodes)
45344 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Operand}@anchor{1070}
45345 @deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Operand (obj, value)
45347 @*Return type: 
45348 None@footnote{https://docs.python.org/3.6/library/constants.html#None}
45350 @end deffn
45352 @geindex Get_Left() (in module pyGHDL.libghdl.vhdl.nodes)
45353 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Left}@anchor{1071}
45354 @deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Left (obj)
45356 @*Return type: 
45357 TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
45359 @end deffn
45361 @geindex Set_Left() (in module pyGHDL.libghdl.vhdl.nodes)
45362 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Left}@anchor{1072}
45363 @deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Left (obj, value)
45365 @*Return type: 
45366 None@footnote{https://docs.python.org/3.6/library/constants.html#None}
45368 @end deffn
45370 @geindex Get_Right() (in module pyGHDL.libghdl.vhdl.nodes)
45371 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Right}@anchor{1073}
45372 @deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Right (obj)
45374 @*Return type: 
45375 TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
45377 @end deffn
45379 @geindex Set_Right() (in module pyGHDL.libghdl.vhdl.nodes)
45380 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Right}@anchor{1074}
45381 @deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Right (obj, value)
45383 @*Return type: 
45384 None@footnote{https://docs.python.org/3.6/library/constants.html#None}
45386 @end deffn
45388 @geindex Get_Unit_Name() (in module pyGHDL.libghdl.vhdl.nodes)
45389 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Unit_Name}@anchor{1075}
45390 @deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Unit_Name (obj)
45392 @*Return type: 
45393 TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
45395 @end deffn
45397 @geindex Set_Unit_Name() (in module pyGHDL.libghdl.vhdl.nodes)
45398 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Unit_Name}@anchor{1076}
45399 @deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Unit_Name (obj, value)
45401 @*Return type: 
45402 None@footnote{https://docs.python.org/3.6/library/constants.html#None}
45404 @end deffn
45406 @geindex Get_Name() (in module pyGHDL.libghdl.vhdl.nodes)
45407 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Name}@anchor{1077}
45408 @deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Name (obj)
45410 @*Return type: 
45411 TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
45413 @end deffn
45415 @geindex Set_Name() (in module pyGHDL.libghdl.vhdl.nodes)
45416 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Name}@anchor{1078}
45417 @deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Name (obj, value)
45419 @*Return type: 
45420 None@footnote{https://docs.python.org/3.6/library/constants.html#None}
45422 @end deffn
45424 @geindex Get_Group_Template_Name() (in module pyGHDL.libghdl.vhdl.nodes)
45425 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Group_Template_Name}@anchor{1079}
45426 @deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Group_Template_Name (obj)
45428 @*Return type: 
45429 TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
45431 @end deffn
45433 @geindex Set_Group_Template_Name() (in module pyGHDL.libghdl.vhdl.nodes)
45434 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Group_Template_Name}@anchor{107a}
45435 @deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Group_Template_Name (obj, value)
45437 @*Return type: 
45438 None@footnote{https://docs.python.org/3.6/library/constants.html#None}
45440 @end deffn
45442 @geindex Get_Name_Staticness() (in module pyGHDL.libghdl.vhdl.nodes)
45443 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Name_Staticness}@anchor{107b}
45444 @deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Name_Staticness (obj)
45446 @*Return type: 
45447 TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
45449 @end deffn
45451 @geindex Set_Name_Staticness() (in module pyGHDL.libghdl.vhdl.nodes)
45452 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Name_Staticness}@anchor{107c}
45453 @deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Name_Staticness (obj, value)
45455 @*Return type: 
45456 None@footnote{https://docs.python.org/3.6/library/constants.html#None}
45458 @end deffn
45460 @geindex Get_Prefix() (in module pyGHDL.libghdl.vhdl.nodes)
45461 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Prefix}@anchor{107d}
45462 @deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Prefix (obj)
45464 @*Return type: 
45465 TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
45467 @end deffn
45469 @geindex Set_Prefix() (in module pyGHDL.libghdl.vhdl.nodes)
45470 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Prefix}@anchor{107e}
45471 @deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Prefix (obj, value)
45473 @*Return type: 
45474 None@footnote{https://docs.python.org/3.6/library/constants.html#None}
45476 @end deffn
45478 @geindex Get_Signature_Prefix() (in module pyGHDL.libghdl.vhdl.nodes)
45479 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Signature_Prefix}@anchor{107f}
45480 @deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Signature_Prefix (obj)
45482 @*Return type: 
45483 TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
45485 @end deffn
45487 @geindex Set_Signature_Prefix() (in module pyGHDL.libghdl.vhdl.nodes)
45488 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Signature_Prefix}@anchor{1080}
45489 @deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Signature_Prefix (obj, value)
45491 @*Return type: 
45492 None@footnote{https://docs.python.org/3.6/library/constants.html#None}
45494 @end deffn
45496 @geindex Get_External_Pathname() (in module pyGHDL.libghdl.vhdl.nodes)
45497 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_External_Pathname}@anchor{1081}
45498 @deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_External_Pathname (obj)
45500 @*Return type: 
45501 TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
45503 @end deffn
45505 @geindex Set_External_Pathname() (in module pyGHDL.libghdl.vhdl.nodes)
45506 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_External_Pathname}@anchor{1082}
45507 @deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_External_Pathname (obj, value)
45509 @*Return type: 
45510 None@footnote{https://docs.python.org/3.6/library/constants.html#None}
45512 @end deffn
45514 @geindex Get_Pathname_Suffix() (in module pyGHDL.libghdl.vhdl.nodes)
45515 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Pathname_Suffix}@anchor{1083}
45516 @deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Pathname_Suffix (obj)
45518 @*Return type: 
45519 TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
45521 @end deffn
45523 @geindex Set_Pathname_Suffix() (in module pyGHDL.libghdl.vhdl.nodes)
45524 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Pathname_Suffix}@anchor{1084}
45525 @deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Pathname_Suffix (obj, value)
45527 @*Return type: 
45528 None@footnote{https://docs.python.org/3.6/library/constants.html#None}
45530 @end deffn
45532 @geindex Get_Pathname_Expression() (in module pyGHDL.libghdl.vhdl.nodes)
45533 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Pathname_Expression}@anchor{1085}
45534 @deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Pathname_Expression (obj)
45536 @*Return type: 
45537 TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
45539 @end deffn
45541 @geindex Set_Pathname_Expression() (in module pyGHDL.libghdl.vhdl.nodes)
45542 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Pathname_Expression}@anchor{1086}
45543 @deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Pathname_Expression (obj, value)
45545 @*Return type: 
45546 None@footnote{https://docs.python.org/3.6/library/constants.html#None}
45548 @end deffn
45550 @geindex Get_In_Formal_Flag() (in module pyGHDL.libghdl.vhdl.nodes)
45551 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_In_Formal_Flag}@anchor{1087}
45552 @deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_In_Formal_Flag (obj)
45554 @*Return type: 
45555 TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Boolean}, bound= c_bool@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_bool})
45557 @end deffn
45559 @geindex Set_In_Formal_Flag() (in module pyGHDL.libghdl.vhdl.nodes)
45560 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_In_Formal_Flag}@anchor{1088}
45561 @deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_In_Formal_Flag (obj, value)
45563 @*Return type: 
45564 None@footnote{https://docs.python.org/3.6/library/constants.html#None}
45566 @end deffn
45568 @geindex Get_Slice_Subtype() (in module pyGHDL.libghdl.vhdl.nodes)
45569 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Slice_Subtype}@anchor{1089}
45570 @deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Slice_Subtype (obj)
45572 @*Return type: 
45573 TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
45575 @end deffn
45577 @geindex Set_Slice_Subtype() (in module pyGHDL.libghdl.vhdl.nodes)
45578 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Slice_Subtype}@anchor{108a}
45579 @deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Slice_Subtype (obj, value)
45581 @*Return type: 
45582 None@footnote{https://docs.python.org/3.6/library/constants.html#None}
45584 @end deffn
45586 @geindex Get_Suffix() (in module pyGHDL.libghdl.vhdl.nodes)
45587 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Suffix}@anchor{108b}
45588 @deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Suffix (obj)
45590 @*Return type: 
45591 TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
45593 @end deffn
45595 @geindex Set_Suffix() (in module pyGHDL.libghdl.vhdl.nodes)
45596 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Suffix}@anchor{108c}
45597 @deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Suffix (obj, value)
45599 @*Return type: 
45600 None@footnote{https://docs.python.org/3.6/library/constants.html#None}
45602 @end deffn
45604 @geindex Get_Index_Subtype() (in module pyGHDL.libghdl.vhdl.nodes)
45605 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Index_Subtype}@anchor{108d}
45606 @deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Index_Subtype (obj)
45608 @*Return type: 
45609 TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
45611 @end deffn
45613 @geindex Set_Index_Subtype() (in module pyGHDL.libghdl.vhdl.nodes)
45614 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Index_Subtype}@anchor{108e}
45615 @deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Index_Subtype (obj, value)
45617 @*Return type: 
45618 None@footnote{https://docs.python.org/3.6/library/constants.html#None}
45620 @end deffn
45622 @geindex Get_Parameter() (in module pyGHDL.libghdl.vhdl.nodes)
45623 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Parameter}@anchor{108f}
45624 @deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Parameter (obj)
45626 @*Return type: 
45627 TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
45629 @end deffn
45631 @geindex Set_Parameter() (in module pyGHDL.libghdl.vhdl.nodes)
45632 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Parameter}@anchor{1090}
45633 @deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Parameter (obj, value)
45635 @*Return type: 
45636 None@footnote{https://docs.python.org/3.6/library/constants.html#None}
45638 @end deffn
45640 @geindex Get_Parameter_2() (in module pyGHDL.libghdl.vhdl.nodes)
45641 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Parameter_2}@anchor{1091}
45642 @deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Parameter_2 (obj)
45644 @*Return type: 
45645 TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
45647 @end deffn
45649 @geindex Set_Parameter_2() (in module pyGHDL.libghdl.vhdl.nodes)
45650 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Parameter_2}@anchor{1092}
45651 @deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Parameter_2 (obj, value)
45653 @*Return type: 
45654 None@footnote{https://docs.python.org/3.6/library/constants.html#None}
45656 @end deffn
45658 @geindex Get_Parameter_3() (in module pyGHDL.libghdl.vhdl.nodes)
45659 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Parameter_3}@anchor{1093}
45660 @deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Parameter_3 (obj)
45662 @*Return type: 
45663 TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
45665 @end deffn
45667 @geindex Set_Parameter_3() (in module pyGHDL.libghdl.vhdl.nodes)
45668 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Parameter_3}@anchor{1094}
45669 @deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Parameter_3 (obj, value)
45671 @*Return type: 
45672 None@footnote{https://docs.python.org/3.6/library/constants.html#None}
45674 @end deffn
45676 @geindex Get_Parameter_4() (in module pyGHDL.libghdl.vhdl.nodes)
45677 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Parameter_4}@anchor{1095}
45678 @deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Parameter_4 (obj)
45680 @*Return type: 
45681 TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
45683 @end deffn
45685 @geindex Set_Parameter_4() (in module pyGHDL.libghdl.vhdl.nodes)
45686 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Parameter_4}@anchor{1096}
45687 @deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Parameter_4 (obj, value)
45689 @*Return type: 
45690 None@footnote{https://docs.python.org/3.6/library/constants.html#None}
45692 @end deffn
45694 @geindex Get_Attr_Chain() (in module pyGHDL.libghdl.vhdl.nodes)
45695 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Attr_Chain}@anchor{1097}
45696 @deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Attr_Chain (obj)
45698 @*Return type: 
45699 TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
45701 @end deffn
45703 @geindex Set_Attr_Chain() (in module pyGHDL.libghdl.vhdl.nodes)
45704 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Attr_Chain}@anchor{1098}
45705 @deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Attr_Chain (obj, value)
45707 @*Return type: 
45708 None@footnote{https://docs.python.org/3.6/library/constants.html#None}
45710 @end deffn
45712 @geindex Get_Signal_Attribute_Declaration() (in module pyGHDL.libghdl.vhdl.nodes)
45713 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Signal_Attribute_Declaration}@anchor{1099}
45714 @deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Signal_Attribute_Declaration (obj)
45716 @*Return type: 
45717 TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
45719 @end deffn
45721 @geindex Set_Signal_Attribute_Declaration() (in module pyGHDL.libghdl.vhdl.nodes)
45722 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Signal_Attribute_Declaration}@anchor{109a}
45723 @deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Signal_Attribute_Declaration (obj, value)
45725 @*Return type: 
45726 None@footnote{https://docs.python.org/3.6/library/constants.html#None}
45728 @end deffn
45730 @geindex Get_Actual_Type() (in module pyGHDL.libghdl.vhdl.nodes)
45731 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Actual_Type}@anchor{109b}
45732 @deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Actual_Type (obj)
45734 @*Return type: 
45735 TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
45737 @end deffn
45739 @geindex Set_Actual_Type() (in module pyGHDL.libghdl.vhdl.nodes)
45740 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Actual_Type}@anchor{109c}
45741 @deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Actual_Type (obj, value)
45743 @*Return type: 
45744 None@footnote{https://docs.python.org/3.6/library/constants.html#None}
45746 @end deffn
45748 @geindex Get_Actual_Type_Definition() (in module pyGHDL.libghdl.vhdl.nodes)
45749 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Actual_Type_Definition}@anchor{109d}
45750 @deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Actual_Type_Definition (obj)
45752 @*Return type: 
45753 TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
45755 @end deffn
45757 @geindex Set_Actual_Type_Definition() (in module pyGHDL.libghdl.vhdl.nodes)
45758 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Actual_Type_Definition}@anchor{109e}
45759 @deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Actual_Type_Definition (obj, value)
45761 @*Return type: 
45762 None@footnote{https://docs.python.org/3.6/library/constants.html#None}
45764 @end deffn
45766 @geindex Get_Association_Chain() (in module pyGHDL.libghdl.vhdl.nodes)
45767 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Association_Chain}@anchor{109f}
45768 @deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Association_Chain (obj)
45770 @*Return type: 
45771 TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
45773 @end deffn
45775 @geindex Set_Association_Chain() (in module pyGHDL.libghdl.vhdl.nodes)
45776 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Association_Chain}@anchor{10a0}
45777 @deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Association_Chain (obj, value)
45779 @*Return type: 
45780 None@footnote{https://docs.python.org/3.6/library/constants.html#None}
45782 @end deffn
45784 @geindex Get_Individual_Association_Chain() (in module pyGHDL.libghdl.vhdl.nodes)
45785 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Individual_Association_Chain}@anchor{10a1}
45786 @deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Individual_Association_Chain (obj)
45788 @*Return type: 
45789 TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
45791 @end deffn
45793 @geindex Set_Individual_Association_Chain() (in module pyGHDL.libghdl.vhdl.nodes)
45794 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Individual_Association_Chain}@anchor{10a2}
45795 @deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Individual_Association_Chain (obj, value)
45797 @*Return type: 
45798 None@footnote{https://docs.python.org/3.6/library/constants.html#None}
45800 @end deffn
45802 @geindex Get_Subprogram_Association_Chain() (in module pyGHDL.libghdl.vhdl.nodes)
45803 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Subprogram_Association_Chain}@anchor{10a3}
45804 @deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Subprogram_Association_Chain (obj)
45806 @*Return type: 
45807 TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
45809 @end deffn
45811 @geindex Set_Subprogram_Association_Chain() (in module pyGHDL.libghdl.vhdl.nodes)
45812 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Subprogram_Association_Chain}@anchor{10a4}
45813 @deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Subprogram_Association_Chain (obj, value)
45815 @*Return type: 
45816 None@footnote{https://docs.python.org/3.6/library/constants.html#None}
45818 @end deffn
45820 @geindex Get_Aggregate_Info() (in module pyGHDL.libghdl.vhdl.nodes)
45821 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Aggregate_Info}@anchor{10a5}
45822 @deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Aggregate_Info (obj)
45824 @*Return type: 
45825 TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
45827 @end deffn
45829 @geindex Set_Aggregate_Info() (in module pyGHDL.libghdl.vhdl.nodes)
45830 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Aggregate_Info}@anchor{10a6}
45831 @deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Aggregate_Info (obj, value)
45833 @*Return type: 
45834 None@footnote{https://docs.python.org/3.6/library/constants.html#None}
45836 @end deffn
45838 @geindex Get_Sub_Aggregate_Info() (in module pyGHDL.libghdl.vhdl.nodes)
45839 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Sub_Aggregate_Info}@anchor{10a7}
45840 @deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Sub_Aggregate_Info (obj)
45842 @*Return type: 
45843 TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
45845 @end deffn
45847 @geindex Set_Sub_Aggregate_Info() (in module pyGHDL.libghdl.vhdl.nodes)
45848 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Sub_Aggregate_Info}@anchor{10a8}
45849 @deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Sub_Aggregate_Info (obj, value)
45851 @*Return type: 
45852 None@footnote{https://docs.python.org/3.6/library/constants.html#None}
45854 @end deffn
45856 @geindex Get_Aggr_Dynamic_Flag() (in module pyGHDL.libghdl.vhdl.nodes)
45857 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Aggr_Dynamic_Flag}@anchor{10a9}
45858 @deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Aggr_Dynamic_Flag (obj)
45860 @*Return type: 
45861 TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Boolean}, bound= c_bool@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_bool})
45863 @end deffn
45865 @geindex Set_Aggr_Dynamic_Flag() (in module pyGHDL.libghdl.vhdl.nodes)
45866 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Aggr_Dynamic_Flag}@anchor{10aa}
45867 @deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Aggr_Dynamic_Flag (obj, value)
45869 @*Return type: 
45870 None@footnote{https://docs.python.org/3.6/library/constants.html#None}
45872 @end deffn
45874 @geindex Get_Aggr_Min_Length() (in module pyGHDL.libghdl.vhdl.nodes)
45875 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Aggr_Min_Length}@anchor{10ab}
45876 @deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Aggr_Min_Length (obj)
45878 @*Return type: 
45879 TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
45881 @end deffn
45883 @geindex Set_Aggr_Min_Length() (in module pyGHDL.libghdl.vhdl.nodes)
45884 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Aggr_Min_Length}@anchor{10ac}
45885 @deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Aggr_Min_Length (obj, value)
45887 @*Return type: 
45888 None@footnote{https://docs.python.org/3.6/library/constants.html#None}
45890 @end deffn
45892 @geindex Get_Aggr_Low_Limit() (in module pyGHDL.libghdl.vhdl.nodes)
45893 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Aggr_Low_Limit}@anchor{10ad}
45894 @deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Aggr_Low_Limit (obj)
45896 @*Return type: 
45897 TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
45899 @end deffn
45901 @geindex Set_Aggr_Low_Limit() (in module pyGHDL.libghdl.vhdl.nodes)
45902 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Aggr_Low_Limit}@anchor{10ae}
45903 @deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Aggr_Low_Limit (obj, value)
45905 @*Return type: 
45906 None@footnote{https://docs.python.org/3.6/library/constants.html#None}
45908 @end deffn
45910 @geindex Get_Aggr_High_Limit() (in module pyGHDL.libghdl.vhdl.nodes)
45911 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Aggr_High_Limit}@anchor{10af}
45912 @deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Aggr_High_Limit (obj)
45914 @*Return type: 
45915 TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
45917 @end deffn
45919 @geindex Set_Aggr_High_Limit() (in module pyGHDL.libghdl.vhdl.nodes)
45920 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Aggr_High_Limit}@anchor{10b0}
45921 @deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Aggr_High_Limit (obj, value)
45923 @*Return type: 
45924 None@footnote{https://docs.python.org/3.6/library/constants.html#None}
45926 @end deffn
45928 @geindex Get_Aggr_Others_Flag() (in module pyGHDL.libghdl.vhdl.nodes)
45929 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Aggr_Others_Flag}@anchor{10b1}
45930 @deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Aggr_Others_Flag (obj)
45932 @*Return type: 
45933 TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Boolean}, bound= c_bool@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_bool})
45935 @end deffn
45937 @geindex Set_Aggr_Others_Flag() (in module pyGHDL.libghdl.vhdl.nodes)
45938 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Aggr_Others_Flag}@anchor{10b2}
45939 @deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Aggr_Others_Flag (obj, value)
45941 @*Return type: 
45942 None@footnote{https://docs.python.org/3.6/library/constants.html#None}
45944 @end deffn
45946 @geindex Get_Aggr_Named_Flag() (in module pyGHDL.libghdl.vhdl.nodes)
45947 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Aggr_Named_Flag}@anchor{10b3}
45948 @deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Aggr_Named_Flag (obj)
45950 @*Return type: 
45951 TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Boolean}, bound= c_bool@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_bool})
45953 @end deffn
45955 @geindex Set_Aggr_Named_Flag() (in module pyGHDL.libghdl.vhdl.nodes)
45956 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Aggr_Named_Flag}@anchor{10b4}
45957 @deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Aggr_Named_Flag (obj, value)
45959 @*Return type: 
45960 None@footnote{https://docs.python.org/3.6/library/constants.html#None}
45962 @end deffn
45964 @geindex Get_Aggregate_Expand_Flag() (in module pyGHDL.libghdl.vhdl.nodes)
45965 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Aggregate_Expand_Flag}@anchor{10b5}
45966 @deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Aggregate_Expand_Flag (obj)
45968 @*Return type: 
45969 TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Boolean}, bound= c_bool@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_bool})
45971 @end deffn
45973 @geindex Set_Aggregate_Expand_Flag() (in module pyGHDL.libghdl.vhdl.nodes)
45974 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Aggregate_Expand_Flag}@anchor{10b6}
45975 @deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Aggregate_Expand_Flag (obj, value)
45977 @*Return type: 
45978 None@footnote{https://docs.python.org/3.6/library/constants.html#None}
45980 @end deffn
45982 @geindex Get_Association_Choices_Chain() (in module pyGHDL.libghdl.vhdl.nodes)
45983 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Association_Choices_Chain}@anchor{10b7}
45984 @deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Association_Choices_Chain (obj)
45986 @*Return type: 
45987 TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
45989 @end deffn
45991 @geindex Set_Association_Choices_Chain() (in module pyGHDL.libghdl.vhdl.nodes)
45992 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Association_Choices_Chain}@anchor{10b8}
45993 @deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Association_Choices_Chain (obj, value)
45995 @*Return type: 
45996 None@footnote{https://docs.python.org/3.6/library/constants.html#None}
45998 @end deffn
46000 @geindex Get_Case_Statement_Alternative_Chain() (in module pyGHDL.libghdl.vhdl.nodes)
46001 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Case_Statement_Alternative_Chain}@anchor{10b9}
46002 @deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Case_Statement_Alternative_Chain (obj)
46004 @*Return type: 
46005 TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
46007 @end deffn
46009 @geindex Set_Case_Statement_Alternative_Chain() (in module pyGHDL.libghdl.vhdl.nodes)
46010 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Case_Statement_Alternative_Chain}@anchor{10ba}
46011 @deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Case_Statement_Alternative_Chain (obj, value)
46013 @*Return type: 
46014 None@footnote{https://docs.python.org/3.6/library/constants.html#None}
46016 @end deffn
46018 @geindex Get_Matching_Flag() (in module pyGHDL.libghdl.vhdl.nodes)
46019 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Matching_Flag}@anchor{10bb}
46020 @deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Matching_Flag (obj)
46022 @*Return type: 
46023 TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Boolean}, bound= c_bool@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_bool})
46025 @end deffn
46027 @geindex Set_Matching_Flag() (in module pyGHDL.libghdl.vhdl.nodes)
46028 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Matching_Flag}@anchor{10bc}
46029 @deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Matching_Flag (obj, value)
46031 @*Return type: 
46032 None@footnote{https://docs.python.org/3.6/library/constants.html#None}
46034 @end deffn
46036 @geindex Get_Choice_Staticness() (in module pyGHDL.libghdl.vhdl.nodes)
46037 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Choice_Staticness}@anchor{10bd}
46038 @deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Choice_Staticness (obj)
46040 @*Return type: 
46041 TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
46043 @end deffn
46045 @geindex Set_Choice_Staticness() (in module pyGHDL.libghdl.vhdl.nodes)
46046 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Choice_Staticness}@anchor{10be}
46047 @deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Choice_Staticness (obj, value)
46049 @*Return type: 
46050 None@footnote{https://docs.python.org/3.6/library/constants.html#None}
46052 @end deffn
46054 @geindex Get_Procedure_Call() (in module pyGHDL.libghdl.vhdl.nodes)
46055 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Procedure_Call}@anchor{10bf}
46056 @deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Procedure_Call (obj)
46058 @*Return type: 
46059 TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
46061 @end deffn
46063 @geindex Set_Procedure_Call() (in module pyGHDL.libghdl.vhdl.nodes)
46064 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Procedure_Call}@anchor{10c0}
46065 @deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Procedure_Call (obj, value)
46067 @*Return type: 
46068 None@footnote{https://docs.python.org/3.6/library/constants.html#None}
46070 @end deffn
46072 @geindex Get_Implementation() (in module pyGHDL.libghdl.vhdl.nodes)
46073 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Implementation}@anchor{10c1}
46074 @deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Implementation (obj)
46076 @*Return type: 
46077 TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
46079 @end deffn
46081 @geindex Set_Implementation() (in module pyGHDL.libghdl.vhdl.nodes)
46082 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Implementation}@anchor{10c2}
46083 @deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Implementation (obj, value)
46085 @*Return type: 
46086 None@footnote{https://docs.python.org/3.6/library/constants.html#None}
46088 @end deffn
46090 @geindex Get_Parameter_Association_Chain() (in module pyGHDL.libghdl.vhdl.nodes)
46091 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Parameter_Association_Chain}@anchor{10c3}
46092 @deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Parameter_Association_Chain (obj)
46094 @*Return type: 
46095 TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
46097 @end deffn
46099 @geindex Set_Parameter_Association_Chain() (in module pyGHDL.libghdl.vhdl.nodes)
46100 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Parameter_Association_Chain}@anchor{10c4}
46101 @deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Parameter_Association_Chain (obj, value)
46103 @*Return type: 
46104 None@footnote{https://docs.python.org/3.6/library/constants.html#None}
46106 @end deffn
46108 @geindex Get_Method_Object() (in module pyGHDL.libghdl.vhdl.nodes)
46109 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Method_Object}@anchor{10c5}
46110 @deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Method_Object (obj)
46112 @*Return type: 
46113 TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
46115 @end deffn
46117 @geindex Set_Method_Object() (in module pyGHDL.libghdl.vhdl.nodes)
46118 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Method_Object}@anchor{10c6}
46119 @deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Method_Object (obj, value)
46121 @*Return type: 
46122 None@footnote{https://docs.python.org/3.6/library/constants.html#None}
46124 @end deffn
46126 @geindex Get_Subtype_Type_Mark() (in module pyGHDL.libghdl.vhdl.nodes)
46127 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Subtype_Type_Mark}@anchor{10c7}
46128 @deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Subtype_Type_Mark (obj)
46130 @*Return type: 
46131 TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
46133 @end deffn
46135 @geindex Set_Subtype_Type_Mark() (in module pyGHDL.libghdl.vhdl.nodes)
46136 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Subtype_Type_Mark}@anchor{10c8}
46137 @deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Subtype_Type_Mark (obj, value)
46139 @*Return type: 
46140 None@footnote{https://docs.python.org/3.6/library/constants.html#None}
46142 @end deffn
46144 @geindex Get_Subnature_Nature_Mark() (in module pyGHDL.libghdl.vhdl.nodes)
46145 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Subnature_Nature_Mark}@anchor{10c9}
46146 @deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Subnature_Nature_Mark (obj)
46148 @*Return type: 
46149 TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
46151 @end deffn
46153 @geindex Set_Subnature_Nature_Mark() (in module pyGHDL.libghdl.vhdl.nodes)
46154 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Subnature_Nature_Mark}@anchor{10ca}
46155 @deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Subnature_Nature_Mark (obj, value)
46157 @*Return type: 
46158 None@footnote{https://docs.python.org/3.6/library/constants.html#None}
46160 @end deffn
46162 @geindex Get_Type_Conversion_Subtype() (in module pyGHDL.libghdl.vhdl.nodes)
46163 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Type_Conversion_Subtype}@anchor{10cb}
46164 @deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Type_Conversion_Subtype (obj)
46166 @*Return type: 
46167 TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
46169 @end deffn
46171 @geindex Set_Type_Conversion_Subtype() (in module pyGHDL.libghdl.vhdl.nodes)
46172 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Type_Conversion_Subtype}@anchor{10cc}
46173 @deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Type_Conversion_Subtype (obj, value)
46175 @*Return type: 
46176 None@footnote{https://docs.python.org/3.6/library/constants.html#None}
46178 @end deffn
46180 @geindex Get_Type_Mark() (in module pyGHDL.libghdl.vhdl.nodes)
46181 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Type_Mark}@anchor{10cd}
46182 @deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Type_Mark (obj)
46184 @*Return type: 
46185 TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
46187 @end deffn
46189 @geindex Set_Type_Mark() (in module pyGHDL.libghdl.vhdl.nodes)
46190 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Type_Mark}@anchor{10ce}
46191 @deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Type_Mark (obj, value)
46193 @*Return type: 
46194 None@footnote{https://docs.python.org/3.6/library/constants.html#None}
46196 @end deffn
46198 @geindex Get_File_Type_Mark() (in module pyGHDL.libghdl.vhdl.nodes)
46199 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_File_Type_Mark}@anchor{10cf}
46200 @deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_File_Type_Mark (obj)
46202 @*Return type: 
46203 TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
46205 @end deffn
46207 @geindex Set_File_Type_Mark() (in module pyGHDL.libghdl.vhdl.nodes)
46208 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_File_Type_Mark}@anchor{10d0}
46209 @deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_File_Type_Mark (obj, value)
46211 @*Return type: 
46212 None@footnote{https://docs.python.org/3.6/library/constants.html#None}
46214 @end deffn
46216 @geindex Get_Return_Type_Mark() (in module pyGHDL.libghdl.vhdl.nodes)
46217 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Return_Type_Mark}@anchor{10d1}
46218 @deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Return_Type_Mark (obj)
46220 @*Return type: 
46221 TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
46223 @end deffn
46225 @geindex Set_Return_Type_Mark() (in module pyGHDL.libghdl.vhdl.nodes)
46226 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Return_Type_Mark}@anchor{10d2}
46227 @deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Return_Type_Mark (obj, value)
46229 @*Return type: 
46230 None@footnote{https://docs.python.org/3.6/library/constants.html#None}
46232 @end deffn
46234 @geindex Get_Has_Disconnect_Flag() (in module pyGHDL.libghdl.vhdl.nodes)
46235 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Has_Disconnect_Flag}@anchor{10d3}
46236 @deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Has_Disconnect_Flag (obj)
46238 @*Return type: 
46239 TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Boolean}, bound= c_bool@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_bool})
46241 @end deffn
46243 @geindex Set_Has_Disconnect_Flag() (in module pyGHDL.libghdl.vhdl.nodes)
46244 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Has_Disconnect_Flag}@anchor{10d4}
46245 @deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Has_Disconnect_Flag (obj, value)
46247 @*Return type: 
46248 None@footnote{https://docs.python.org/3.6/library/constants.html#None}
46250 @end deffn
46252 @geindex Get_Has_Active_Flag() (in module pyGHDL.libghdl.vhdl.nodes)
46253 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Has_Active_Flag}@anchor{10d5}
46254 @deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Has_Active_Flag (obj)
46256 @*Return type: 
46257 TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Boolean}, bound= c_bool@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_bool})
46259 @end deffn
46261 @geindex Set_Has_Active_Flag() (in module pyGHDL.libghdl.vhdl.nodes)
46262 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Has_Active_Flag}@anchor{10d6}
46263 @deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Has_Active_Flag (obj, value)
46265 @*Return type: 
46266 None@footnote{https://docs.python.org/3.6/library/constants.html#None}
46268 @end deffn
46270 @geindex Get_Is_Within_Flag() (in module pyGHDL.libghdl.vhdl.nodes)
46271 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Is_Within_Flag}@anchor{10d7}
46272 @deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Is_Within_Flag (obj)
46274 @*Return type: 
46275 TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Boolean}, bound= c_bool@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_bool})
46277 @end deffn
46279 @geindex Set_Is_Within_Flag() (in module pyGHDL.libghdl.vhdl.nodes)
46280 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Is_Within_Flag}@anchor{10d8}
46281 @deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Is_Within_Flag (obj, value)
46283 @*Return type: 
46284 None@footnote{https://docs.python.org/3.6/library/constants.html#None}
46286 @end deffn
46288 @geindex Get_Type_Marks_List() (in module pyGHDL.libghdl.vhdl.nodes)
46289 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Type_Marks_List}@anchor{10d9}
46290 @deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Type_Marks_List (obj)
46292 @*Return type: 
46293 TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
46295 @end deffn
46297 @geindex Set_Type_Marks_List() (in module pyGHDL.libghdl.vhdl.nodes)
46298 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Type_Marks_List}@anchor{10da}
46299 @deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Type_Marks_List (obj, value)
46301 @*Return type: 
46302 None@footnote{https://docs.python.org/3.6/library/constants.html#None}
46304 @end deffn
46306 @geindex Get_Implicit_Alias_Flag() (in module pyGHDL.libghdl.vhdl.nodes)
46307 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Implicit_Alias_Flag}@anchor{10db}
46308 @deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Implicit_Alias_Flag (obj)
46310 @*Return type: 
46311 TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Boolean}, bound= c_bool@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_bool})
46313 @end deffn
46315 @geindex Set_Implicit_Alias_Flag() (in module pyGHDL.libghdl.vhdl.nodes)
46316 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Implicit_Alias_Flag}@anchor{10dc}
46317 @deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Implicit_Alias_Flag (obj, value)
46319 @*Return type: 
46320 None@footnote{https://docs.python.org/3.6/library/constants.html#None}
46322 @end deffn
46324 @geindex Get_Alias_Signature() (in module pyGHDL.libghdl.vhdl.nodes)
46325 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Alias_Signature}@anchor{10dd}
46326 @deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Alias_Signature (obj)
46328 @*Return type: 
46329 TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
46331 @end deffn
46333 @geindex Set_Alias_Signature() (in module pyGHDL.libghdl.vhdl.nodes)
46334 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Alias_Signature}@anchor{10de}
46335 @deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Alias_Signature (obj, value)
46337 @*Return type: 
46338 None@footnote{https://docs.python.org/3.6/library/constants.html#None}
46340 @end deffn
46342 @geindex Get_Attribute_Signature() (in module pyGHDL.libghdl.vhdl.nodes)
46343 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Attribute_Signature}@anchor{10df}
46344 @deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Attribute_Signature (obj)
46346 @*Return type: 
46347 TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
46349 @end deffn
46351 @geindex Set_Attribute_Signature() (in module pyGHDL.libghdl.vhdl.nodes)
46352 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Attribute_Signature}@anchor{10e0}
46353 @deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Attribute_Signature (obj, value)
46355 @*Return type: 
46356 None@footnote{https://docs.python.org/3.6/library/constants.html#None}
46358 @end deffn
46360 @geindex Get_Overload_List() (in module pyGHDL.libghdl.vhdl.nodes)
46361 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Overload_List}@anchor{10e1}
46362 @deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Overload_List (obj)
46364 @*Return type: 
46365 TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
46367 @end deffn
46369 @geindex Set_Overload_List() (in module pyGHDL.libghdl.vhdl.nodes)
46370 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Overload_List}@anchor{10e2}
46371 @deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Overload_List (obj, value)
46373 @*Return type: 
46374 None@footnote{https://docs.python.org/3.6/library/constants.html#None}
46376 @end deffn
46378 @geindex Get_Simple_Name_Identifier() (in module pyGHDL.libghdl.vhdl.nodes)
46379 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Simple_Name_Identifier}@anchor{10e3}
46380 @deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Simple_Name_Identifier (obj)
46382 @*Return type: 
46383 TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{NameId}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
46385 @end deffn
46387 @geindex Set_Simple_Name_Identifier() (in module pyGHDL.libghdl.vhdl.nodes)
46388 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Simple_Name_Identifier}@anchor{10e4}
46389 @deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Simple_Name_Identifier (obj, value)
46391 @*Return type: 
46392 None@footnote{https://docs.python.org/3.6/library/constants.html#None}
46394 @end deffn
46396 @geindex Get_Simple_Name_Subtype() (in module pyGHDL.libghdl.vhdl.nodes)
46397 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Simple_Name_Subtype}@anchor{10e5}
46398 @deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Simple_Name_Subtype (obj)
46400 @*Return type: 
46401 TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
46403 @end deffn
46405 @geindex Set_Simple_Name_Subtype() (in module pyGHDL.libghdl.vhdl.nodes)
46406 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Simple_Name_Subtype}@anchor{10e6}
46407 @deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Simple_Name_Subtype (obj, value)
46409 @*Return type: 
46410 None@footnote{https://docs.python.org/3.6/library/constants.html#None}
46412 @end deffn
46414 @geindex Get_Protected_Type_Body() (in module pyGHDL.libghdl.vhdl.nodes)
46415 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Protected_Type_Body}@anchor{10e7}
46416 @deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Protected_Type_Body (obj)
46418 @*Return type: 
46419 TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
46421 @end deffn
46423 @geindex Set_Protected_Type_Body() (in module pyGHDL.libghdl.vhdl.nodes)
46424 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Protected_Type_Body}@anchor{10e8}
46425 @deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Protected_Type_Body (obj, value)
46427 @*Return type: 
46428 None@footnote{https://docs.python.org/3.6/library/constants.html#None}
46430 @end deffn
46432 @geindex Get_Protected_Type_Declaration() (in module pyGHDL.libghdl.vhdl.nodes)
46433 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Protected_Type_Declaration}@anchor{10e9}
46434 @deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Protected_Type_Declaration (obj)
46436 @*Return type: 
46437 TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
46439 @end deffn
46441 @geindex Set_Protected_Type_Declaration() (in module pyGHDL.libghdl.vhdl.nodes)
46442 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Protected_Type_Declaration}@anchor{10ea}
46443 @deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Protected_Type_Declaration (obj, value)
46445 @*Return type: 
46446 None@footnote{https://docs.python.org/3.6/library/constants.html#None}
46448 @end deffn
46450 @geindex Get_Use_Flag() (in module pyGHDL.libghdl.vhdl.nodes)
46451 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Use_Flag}@anchor{10eb}
46452 @deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Use_Flag (obj)
46454 @*Return type: 
46455 TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Boolean}, bound= c_bool@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_bool})
46457 @end deffn
46459 @geindex Set_Use_Flag() (in module pyGHDL.libghdl.vhdl.nodes)
46460 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Use_Flag}@anchor{10ec}
46461 @deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Use_Flag (obj, value)
46463 @*Return type: 
46464 None@footnote{https://docs.python.org/3.6/library/constants.html#None}
46466 @end deffn
46468 @geindex Get_End_Has_Reserved_Id() (in module pyGHDL.libghdl.vhdl.nodes)
46469 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_End_Has_Reserved_Id}@anchor{10ed}
46470 @deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_End_Has_Reserved_Id (obj)
46472 @*Return type: 
46473 TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Boolean}, bound= c_bool@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_bool})
46475 @end deffn
46477 @geindex Set_End_Has_Reserved_Id() (in module pyGHDL.libghdl.vhdl.nodes)
46478 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_End_Has_Reserved_Id}@anchor{10ee}
46479 @deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_End_Has_Reserved_Id (obj, value)
46481 @*Return type: 
46482 None@footnote{https://docs.python.org/3.6/library/constants.html#None}
46484 @end deffn
46486 @geindex Get_End_Has_Identifier() (in module pyGHDL.libghdl.vhdl.nodes)
46487 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_End_Has_Identifier}@anchor{10ef}
46488 @deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_End_Has_Identifier (obj)
46490 @*Return type: 
46491 TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Boolean}, bound= c_bool@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_bool})
46493 @end deffn
46495 @geindex Set_End_Has_Identifier() (in module pyGHDL.libghdl.vhdl.nodes)
46496 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_End_Has_Identifier}@anchor{10f0}
46497 @deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_End_Has_Identifier (obj, value)
46499 @*Return type: 
46500 None@footnote{https://docs.python.org/3.6/library/constants.html#None}
46502 @end deffn
46504 @geindex Get_End_Has_Postponed() (in module pyGHDL.libghdl.vhdl.nodes)
46505 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_End_Has_Postponed}@anchor{10f1}
46506 @deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_End_Has_Postponed (obj)
46508 @*Return type: 
46509 TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Boolean}, bound= c_bool@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_bool})
46511 @end deffn
46513 @geindex Set_End_Has_Postponed() (in module pyGHDL.libghdl.vhdl.nodes)
46514 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_End_Has_Postponed}@anchor{10f2}
46515 @deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_End_Has_Postponed (obj, value)
46517 @*Return type: 
46518 None@footnote{https://docs.python.org/3.6/library/constants.html#None}
46520 @end deffn
46522 @geindex Get_Has_Label() (in module pyGHDL.libghdl.vhdl.nodes)
46523 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Has_Label}@anchor{10f3}
46524 @deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Has_Label (obj)
46526 @*Return type: 
46527 TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Boolean}, bound= c_bool@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_bool})
46529 @end deffn
46531 @geindex Set_Has_Label() (in module pyGHDL.libghdl.vhdl.nodes)
46532 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Has_Label}@anchor{10f4}
46533 @deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Has_Label (obj, value)
46535 @*Return type: 
46536 None@footnote{https://docs.python.org/3.6/library/constants.html#None}
46538 @end deffn
46540 @geindex Get_Has_Begin() (in module pyGHDL.libghdl.vhdl.nodes)
46541 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Has_Begin}@anchor{10f5}
46542 @deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Has_Begin (obj)
46544 @*Return type: 
46545 TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Boolean}, bound= c_bool@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_bool})
46547 @end deffn
46549 @geindex Set_Has_Begin() (in module pyGHDL.libghdl.vhdl.nodes)
46550 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Has_Begin}@anchor{10f6}
46551 @deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Has_Begin (obj, value)
46553 @*Return type: 
46554 None@footnote{https://docs.python.org/3.6/library/constants.html#None}
46556 @end deffn
46558 @geindex Get_Has_End() (in module pyGHDL.libghdl.vhdl.nodes)
46559 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Has_End}@anchor{10f7}
46560 @deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Has_End (obj)
46562 @*Return type: 
46563 TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Boolean}, bound= c_bool@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_bool})
46565 @end deffn
46567 @geindex Set_Has_End() (in module pyGHDL.libghdl.vhdl.nodes)
46568 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Has_End}@anchor{10f8}
46569 @deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Has_End (obj, value)
46571 @*Return type: 
46572 None@footnote{https://docs.python.org/3.6/library/constants.html#None}
46574 @end deffn
46576 @geindex Get_Has_Is() (in module pyGHDL.libghdl.vhdl.nodes)
46577 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Has_Is}@anchor{10f9}
46578 @deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Has_Is (obj)
46580 @*Return type: 
46581 TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Boolean}, bound= c_bool@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_bool})
46583 @end deffn
46585 @geindex Set_Has_Is() (in module pyGHDL.libghdl.vhdl.nodes)
46586 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Has_Is}@anchor{10fa}
46587 @deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Has_Is (obj, value)
46589 @*Return type: 
46590 None@footnote{https://docs.python.org/3.6/library/constants.html#None}
46592 @end deffn
46594 @geindex Get_Has_Pure() (in module pyGHDL.libghdl.vhdl.nodes)
46595 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Has_Pure}@anchor{10fb}
46596 @deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Has_Pure (obj)
46598 @*Return type: 
46599 TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Boolean}, bound= c_bool@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_bool})
46601 @end deffn
46603 @geindex Set_Has_Pure() (in module pyGHDL.libghdl.vhdl.nodes)
46604 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Has_Pure}@anchor{10fc}
46605 @deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Has_Pure (obj, value)
46607 @*Return type: 
46608 None@footnote{https://docs.python.org/3.6/library/constants.html#None}
46610 @end deffn
46612 @geindex Get_Has_Body() (in module pyGHDL.libghdl.vhdl.nodes)
46613 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Has_Body}@anchor{10fd}
46614 @deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Has_Body (obj)
46616 @*Return type: 
46617 TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Boolean}, bound= c_bool@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_bool})
46619 @end deffn
46621 @geindex Set_Has_Body() (in module pyGHDL.libghdl.vhdl.nodes)
46622 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Has_Body}@anchor{10fe}
46623 @deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Has_Body (obj, value)
46625 @*Return type: 
46626 None@footnote{https://docs.python.org/3.6/library/constants.html#None}
46628 @end deffn
46630 @geindex Get_Has_Parameter() (in module pyGHDL.libghdl.vhdl.nodes)
46631 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Has_Parameter}@anchor{10ff}
46632 @deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Has_Parameter (obj)
46634 @*Return type: 
46635 TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Boolean}, bound= c_bool@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_bool})
46637 @end deffn
46639 @geindex Set_Has_Parameter() (in module pyGHDL.libghdl.vhdl.nodes)
46640 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Has_Parameter}@anchor{1100}
46641 @deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Has_Parameter (obj, value)
46643 @*Return type: 
46644 None@footnote{https://docs.python.org/3.6/library/constants.html#None}
46646 @end deffn
46648 @geindex Get_Has_Component() (in module pyGHDL.libghdl.vhdl.nodes)
46649 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Has_Component}@anchor{1101}
46650 @deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Has_Component (obj)
46652 @*Return type: 
46653 TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Boolean}, bound= c_bool@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_bool})
46655 @end deffn
46657 @geindex Set_Has_Component() (in module pyGHDL.libghdl.vhdl.nodes)
46658 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Has_Component}@anchor{1102}
46659 @deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Has_Component (obj, value)
46661 @*Return type: 
46662 None@footnote{https://docs.python.org/3.6/library/constants.html#None}
46664 @end deffn
46666 @geindex Get_Has_Identifier_List() (in module pyGHDL.libghdl.vhdl.nodes)
46667 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Has_Identifier_List}@anchor{1103}
46668 @deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Has_Identifier_List (obj)
46670 @*Return type: 
46671 TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Boolean}, bound= c_bool@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_bool})
46673 @end deffn
46675 @geindex Set_Has_Identifier_List() (in module pyGHDL.libghdl.vhdl.nodes)
46676 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Has_Identifier_List}@anchor{1104}
46677 @deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Has_Identifier_List (obj, value)
46679 @*Return type: 
46680 None@footnote{https://docs.python.org/3.6/library/constants.html#None}
46682 @end deffn
46684 @geindex Get_Has_Mode() (in module pyGHDL.libghdl.vhdl.nodes)
46685 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Has_Mode}@anchor{1105}
46686 @deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Has_Mode (obj)
46688 @*Return type: 
46689 TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Boolean}, bound= c_bool@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_bool})
46691 @end deffn
46693 @geindex Set_Has_Mode() (in module pyGHDL.libghdl.vhdl.nodes)
46694 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Has_Mode}@anchor{1106}
46695 @deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Has_Mode (obj, value)
46697 @*Return type: 
46698 None@footnote{https://docs.python.org/3.6/library/constants.html#None}
46700 @end deffn
46702 @geindex Get_Has_Class() (in module pyGHDL.libghdl.vhdl.nodes)
46703 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Has_Class}@anchor{1107}
46704 @deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Has_Class (obj)
46706 @*Return type: 
46707 TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Boolean}, bound= c_bool@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_bool})
46709 @end deffn
46711 @geindex Set_Has_Class() (in module pyGHDL.libghdl.vhdl.nodes)
46712 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Has_Class}@anchor{1108}
46713 @deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Has_Class (obj, value)
46715 @*Return type: 
46716 None@footnote{https://docs.python.org/3.6/library/constants.html#None}
46718 @end deffn
46720 @geindex Get_Has_Delay_Mechanism() (in module pyGHDL.libghdl.vhdl.nodes)
46721 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Has_Delay_Mechanism}@anchor{1109}
46722 @deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Has_Delay_Mechanism (obj)
46724 @*Return type: 
46725 TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Boolean}, bound= c_bool@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_bool})
46727 @end deffn
46729 @geindex Set_Has_Delay_Mechanism() (in module pyGHDL.libghdl.vhdl.nodes)
46730 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Has_Delay_Mechanism}@anchor{110a}
46731 @deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Has_Delay_Mechanism (obj, value)
46733 @*Return type: 
46734 None@footnote{https://docs.python.org/3.6/library/constants.html#None}
46736 @end deffn
46738 @geindex Get_Suspend_Flag() (in module pyGHDL.libghdl.vhdl.nodes)
46739 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Suspend_Flag}@anchor{110b}
46740 @deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Suspend_Flag (obj)
46742 @*Return type: 
46743 TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Boolean}, bound= c_bool@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_bool})
46745 @end deffn
46747 @geindex Set_Suspend_Flag() (in module pyGHDL.libghdl.vhdl.nodes)
46748 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Suspend_Flag}@anchor{110c}
46749 @deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Suspend_Flag (obj, value)
46751 @*Return type: 
46752 None@footnote{https://docs.python.org/3.6/library/constants.html#None}
46754 @end deffn
46756 @geindex Get_Is_Ref() (in module pyGHDL.libghdl.vhdl.nodes)
46757 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Is_Ref}@anchor{110d}
46758 @deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Is_Ref (obj)
46760 @*Return type: 
46761 TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Boolean}, bound= c_bool@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_bool})
46763 @end deffn
46765 @geindex Set_Is_Ref() (in module pyGHDL.libghdl.vhdl.nodes)
46766 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Is_Ref}@anchor{110e}
46767 @deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Is_Ref (obj, value)
46769 @*Return type: 
46770 None@footnote{https://docs.python.org/3.6/library/constants.html#None}
46772 @end deffn
46774 @geindex Get_Is_Forward_Ref() (in module pyGHDL.libghdl.vhdl.nodes)
46775 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Is_Forward_Ref}@anchor{110f}
46776 @deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Is_Forward_Ref (obj)
46778 @*Return type: 
46779 TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Boolean}, bound= c_bool@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_bool})
46781 @end deffn
46783 @geindex Set_Is_Forward_Ref() (in module pyGHDL.libghdl.vhdl.nodes)
46784 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Is_Forward_Ref}@anchor{1110}
46785 @deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Is_Forward_Ref (obj, value)
46787 @*Return type: 
46788 None@footnote{https://docs.python.org/3.6/library/constants.html#None}
46790 @end deffn
46792 @geindex Get_Psl_Property() (in module pyGHDL.libghdl.vhdl.nodes)
46793 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Psl_Property}@anchor{1111}
46794 @deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Psl_Property (obj)
46796 @*Return type: 
46797 TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{PSLNode}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
46799 @end deffn
46801 @geindex Set_Psl_Property() (in module pyGHDL.libghdl.vhdl.nodes)
46802 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Psl_Property}@anchor{1112}
46803 @deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Psl_Property (obj, value)
46805 @*Return type: 
46806 None@footnote{https://docs.python.org/3.6/library/constants.html#None}
46808 @end deffn
46810 @geindex Get_Psl_Sequence() (in module pyGHDL.libghdl.vhdl.nodes)
46811 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Psl_Sequence}@anchor{1113}
46812 @deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Psl_Sequence (obj)
46814 @*Return type: 
46815 TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{PSLNode}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
46817 @end deffn
46819 @geindex Set_Psl_Sequence() (in module pyGHDL.libghdl.vhdl.nodes)
46820 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Psl_Sequence}@anchor{1114}
46821 @deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Psl_Sequence (obj, value)
46823 @*Return type: 
46824 None@footnote{https://docs.python.org/3.6/library/constants.html#None}
46826 @end deffn
46828 @geindex Get_Psl_Declaration() (in module pyGHDL.libghdl.vhdl.nodes)
46829 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Psl_Declaration}@anchor{1115}
46830 @deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Psl_Declaration (obj)
46832 @*Return type: 
46833 TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{PSLNode}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
46835 @end deffn
46837 @geindex Set_Psl_Declaration() (in module pyGHDL.libghdl.vhdl.nodes)
46838 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Psl_Declaration}@anchor{1116}
46839 @deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Psl_Declaration (obj, value)
46841 @*Return type: 
46842 None@footnote{https://docs.python.org/3.6/library/constants.html#None}
46844 @end deffn
46846 @geindex Get_Psl_Expression() (in module pyGHDL.libghdl.vhdl.nodes)
46847 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Psl_Expression}@anchor{1117}
46848 @deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Psl_Expression (obj)
46850 @*Return type: 
46851 TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{PSLNode}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
46853 @end deffn
46855 @geindex Set_Psl_Expression() (in module pyGHDL.libghdl.vhdl.nodes)
46856 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Psl_Expression}@anchor{1118}
46857 @deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Psl_Expression (obj, value)
46859 @*Return type: 
46860 None@footnote{https://docs.python.org/3.6/library/constants.html#None}
46862 @end deffn
46864 @geindex Get_Psl_Boolean() (in module pyGHDL.libghdl.vhdl.nodes)
46865 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Psl_Boolean}@anchor{1119}
46866 @deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Psl_Boolean (obj)
46868 @*Return type: 
46869 TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{PSLNode}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
46871 @end deffn
46873 @geindex Set_Psl_Boolean() (in module pyGHDL.libghdl.vhdl.nodes)
46874 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Psl_Boolean}@anchor{111a}
46875 @deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Psl_Boolean (obj, value)
46877 @*Return type: 
46878 None@footnote{https://docs.python.org/3.6/library/constants.html#None}
46880 @end deffn
46882 @geindex Get_PSL_Clock() (in module pyGHDL.libghdl.vhdl.nodes)
46883 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_PSL_Clock}@anchor{111b}
46884 @deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_PSL_Clock (obj)
46886 @*Return type: 
46887 TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{PSLNode}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
46889 @end deffn
46891 @geindex Set_PSL_Clock() (in module pyGHDL.libghdl.vhdl.nodes)
46892 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_PSL_Clock}@anchor{111c}
46893 @deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_PSL_Clock (obj, value)
46895 @*Return type: 
46896 None@footnote{https://docs.python.org/3.6/library/constants.html#None}
46898 @end deffn
46900 @geindex Get_PSL_NFA() (in module pyGHDL.libghdl.vhdl.nodes)
46901 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_PSL_NFA}@anchor{111d}
46902 @deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_PSL_NFA (obj)
46904 @*Return type: 
46905 TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{PSLNFA}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
46907 @end deffn
46909 @geindex Set_PSL_NFA() (in module pyGHDL.libghdl.vhdl.nodes)
46910 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_PSL_NFA}@anchor{111e}
46911 @deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_PSL_NFA (obj, value)
46913 @*Return type: 
46914 None@footnote{https://docs.python.org/3.6/library/constants.html#None}
46916 @end deffn
46918 @geindex Get_PSL_Nbr_States() (in module pyGHDL.libghdl.vhdl.nodes)
46919 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_PSL_Nbr_States}@anchor{111f}
46920 @deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_PSL_Nbr_States (obj)
46922 @*Return type: 
46923 TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Int32}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
46925 @end deffn
46927 @geindex Set_PSL_Nbr_States() (in module pyGHDL.libghdl.vhdl.nodes)
46928 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_PSL_Nbr_States}@anchor{1120}
46929 @deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_PSL_Nbr_States (obj, value)
46931 @*Return type: 
46932 None@footnote{https://docs.python.org/3.6/library/constants.html#None}
46934 @end deffn
46936 @geindex Get_PSL_Clock_Sensitivity() (in module pyGHDL.libghdl.vhdl.nodes)
46937 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_PSL_Clock_Sensitivity}@anchor{1121}
46938 @deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_PSL_Clock_Sensitivity (obj)
46940 @*Return type: 
46941 TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
46943 @end deffn
46945 @geindex Set_PSL_Clock_Sensitivity() (in module pyGHDL.libghdl.vhdl.nodes)
46946 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_PSL_Clock_Sensitivity}@anchor{1122}
46947 @deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_PSL_Clock_Sensitivity (obj, value)
46949 @*Return type: 
46950 None@footnote{https://docs.python.org/3.6/library/constants.html#None}
46952 @end deffn
46954 @geindex Get_PSL_EOS_Flag() (in module pyGHDL.libghdl.vhdl.nodes)
46955 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_PSL_EOS_Flag}@anchor{1123}
46956 @deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_PSL_EOS_Flag (obj)
46958 @*Return type: 
46959 TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Boolean}, bound= c_bool@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_bool})
46961 @end deffn
46963 @geindex Set_PSL_EOS_Flag() (in module pyGHDL.libghdl.vhdl.nodes)
46964 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_PSL_EOS_Flag}@anchor{1124}
46965 @deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_PSL_EOS_Flag (obj, value)
46967 @*Return type: 
46968 None@footnote{https://docs.python.org/3.6/library/constants.html#None}
46970 @end deffn
46972 @geindex Get_PSL_Abort_Flag() (in module pyGHDL.libghdl.vhdl.nodes)
46973 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_PSL_Abort_Flag}@anchor{1125}
46974 @deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_PSL_Abort_Flag (obj)
46976 @*Return type: 
46977 TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Boolean}, bound= c_bool@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_bool})
46979 @end deffn
46981 @geindex Set_PSL_Abort_Flag() (in module pyGHDL.libghdl.vhdl.nodes)
46982 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_PSL_Abort_Flag}@anchor{1126}
46983 @deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_PSL_Abort_Flag (obj, value)
46985 @*Return type: 
46986 None@footnote{https://docs.python.org/3.6/library/constants.html#None}
46988 @end deffn
46990 @geindex Get_Count_Expression() (in module pyGHDL.libghdl.vhdl.nodes)
46991 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Count_Expression}@anchor{1127}
46992 @deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Count_Expression (obj)
46994 @*Return type: 
46995 TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
46997 @end deffn
46999 @geindex Set_Count_Expression() (in module pyGHDL.libghdl.vhdl.nodes)
47000 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Count_Expression}@anchor{1128}
47001 @deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Count_Expression (obj, value)
47003 @*Return type: 
47004 None@footnote{https://docs.python.org/3.6/library/constants.html#None}
47006 @end deffn
47008 @geindex Get_Clock_Expression() (in module pyGHDL.libghdl.vhdl.nodes)
47009 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Clock_Expression}@anchor{1129}
47010 @deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Clock_Expression (obj)
47012 @*Return type: 
47013 TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
47015 @end deffn
47017 @geindex Set_Clock_Expression() (in module pyGHDL.libghdl.vhdl.nodes)
47018 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Clock_Expression}@anchor{112a}
47019 @deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Clock_Expression (obj, value)
47021 @*Return type: 
47022 None@footnote{https://docs.python.org/3.6/library/constants.html#None}
47024 @end deffn
47026 @geindex Get_Default_Clock() (in module pyGHDL.libghdl.vhdl.nodes)
47027 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Default_Clock}@anchor{112b}
47028 @deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Default_Clock (obj)
47030 @*Return type: 
47031 TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
47033 @end deffn
47035 @geindex Set_Default_Clock() (in module pyGHDL.libghdl.vhdl.nodes)
47036 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Default_Clock}@anchor{112c}
47037 @deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Default_Clock (obj, value)
47039 @*Return type: 
47040 None@footnote{https://docs.python.org/3.6/library/constants.html#None}
47042 @end deffn
47044 @geindex Get_Foreign_Node() (in module pyGHDL.libghdl.vhdl.nodes)
47045 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Foreign_Node}@anchor{112d}
47046 @deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Foreign_Node (obj)
47048 @*Return type: 
47049 TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Int32}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
47051 @end deffn
47053 @geindex Set_Foreign_Node() (in module pyGHDL.libghdl.vhdl.nodes)
47054 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Foreign_Node}@anchor{112e}
47055 @deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Foreign_Node (obj, value)
47057 @*Return type: 
47058 None@footnote{https://docs.python.org/3.6/library/constants.html#None}
47060 @end deffn
47062 @c # Load pre-defined aliases and graphical characters like © from docutils
47063 @c # <file> is used to denote the special path
47064 @c # <Python>\Lib\site-packages\docutils\parsers\rst\include
47066 @c This data file has been placed in the public domain.
47068 @c Derived from the Unicode character mappings available from
47069 @c <http://www.w3.org/2003/entities/xml/>.
47070 @c Processed by unicode2rstsubs.py, part of Docutils:
47071 @c <http://docutils.sourceforge.net>.
47073 @c This data file has been placed in the public domain.
47075 @c Derived from the Unicode character mappings available from
47076 @c <http://www.w3.org/2003/entities/xml/>.
47077 @c Processed by unicode2rstsubs.py, part of Docutils:
47078 @c <http://docutils.sourceforge.net>.
47080 @c # define a hard line break for HTML
47082 @node pyGHDL libghdl vhdl nodes_meta,pyGHDL libghdl vhdl nodes_utils,pyGHDL libghdl vhdl nodes,pyGHDL libghdl vhdl
47083 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta doc}@anchor{156d}@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta module-pyGHDL libghdl vhdl nodes_meta}@anchor{30}@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyghdl-libghdl-vhdl-nodes-meta}@anchor{156e}
47084 @subsubsection pyGHDL.libghdl.vhdl.nodes_meta
47087 @geindex module; pyGHDL.libghdl.vhdl.nodes_meta
47089 @c #-----------------------------------
47091 @strong{Classes}
47094 @itemize -
47096 @item 
47097 @ref{156f,,types}:
47098 An enumeration.
47100 @item 
47101 @ref{1570,,Attr}:
47102 An enumeration.
47104 @item 
47105 @ref{1571,,fields}:
47106 An enumeration.
47107 @end itemize
47109 @strong{Functions}
47112 @itemize -
47114 @item 
47115 @ref{1572,,get_fields_first()}:
47116 Return the list of fields for node @code{K}.
47118 @item 
47119 @ref{1573,,get_fields_last()}:
47120 Return the list of fields for node @code{K}.
47122 @item 
47123 @ref{1574,,get_field_by_index()}:
47125 @item 
47126 @ref{1575,,get_field_type()}:
47127 Undocumented.
47129 @item 
47130 @ref{1576,,get_field_attribute()}:
47131 Undocumented.
47133 @item 
47134 @ref{1577,,Has_First_Design_Unit()}:
47136 @item 
47137 @ref{1578,,Has_Last_Design_Unit()}:
47139 @item 
47140 @ref{1579,,Has_Library_Declaration()}:
47142 @item 
47143 @ref{157a,,Has_File_Checksum()}:
47145 @item 
47146 @ref{157b,,Has_Analysis_Time_Stamp()}:
47148 @item 
47149 @ref{157c,,Has_Design_File_Source()}:
47151 @item 
47152 @ref{157d,,Has_Library()}:
47154 @item 
47155 @ref{157e,,Has_File_Dependence_List()}:
47157 @item 
47158 @ref{157f,,Has_Design_File_Filename()}:
47160 @item 
47161 @ref{1580,,Has_Design_File_Directory()}:
47163 @item 
47164 @ref{1581,,Has_Design_File()}:
47166 @item 
47167 @ref{1582,,Has_Design_File_Chain()}:
47169 @item 
47170 @ref{1583,,Has_Library_Directory()}:
47172 @item 
47173 @ref{1584,,Has_Date()}:
47175 @item 
47176 @ref{1585,,Has_Context_Items()}:
47178 @item 
47179 @ref{1586,,Has_Dependence_List()}:
47181 @item 
47182 @ref{1587,,Has_Analysis_Checks_List()}:
47184 @item 
47185 @ref{1588,,Has_Date_State()}:
47187 @item 
47188 @ref{1589,,Has_Guarded_Target_State()}:
47190 @item 
47191 @ref{158a,,Has_Library_Unit()}:
47193 @item 
47194 @ref{158b,,Has_Hash_Chain()}:
47196 @item 
47197 @ref{158c,,Has_Design_Unit_Source_Pos()}:
47199 @item 
47200 @ref{158d,,Has_Design_Unit_Source_Line()}:
47202 @item 
47203 @ref{158e,,Has_Design_Unit_Source_Col()}:
47205 @item 
47206 @ref{158f,,Has_Value()}:
47208 @item 
47209 @ref{1590,,Has_Enum_Pos()}:
47211 @item 
47212 @ref{1591,,Has_Physical_Literal()}:
47214 @item 
47215 @ref{1592,,Has_Fp_Value()}:
47217 @item 
47218 @ref{1593,,Has_Simple_Aggregate_List()}:
47220 @item 
47221 @ref{1594,,Has_String8_Id()}:
47223 @item 
47224 @ref{1595,,Has_String_Length()}:
47226 @item 
47227 @ref{1596,,Has_Bit_String_Base()}:
47229 @item 
47230 @ref{1597,,Has_Has_Signed()}:
47232 @item 
47233 @ref{1598,,Has_Has_Sign()}:
47235 @item 
47236 @ref{1599,,Has_Has_Length()}:
47238 @item 
47239 @ref{159a,,Has_Literal_Length()}:
47241 @item 
47242 @ref{159b,,Has_Literal_Origin()}:
47244 @item 
47245 @ref{159c,,Has_Range_Origin()}:
47247 @item 
47248 @ref{159d,,Has_Literal_Subtype()}:
47250 @item 
47251 @ref{159e,,Has_Allocator_Subtype()}:
47253 @item 
47254 @ref{159f,,Has_Entity_Class()}:
47256 @item 
47257 @ref{15a0,,Has_Entity_Name_List()}:
47259 @item 
47260 @ref{15a1,,Has_Attribute_Designator()}:
47262 @item 
47263 @ref{15a2,,Has_Attribute_Specification_Chain()}:
47265 @item 
47266 @ref{15a3,,Has_Attribute_Specification()}:
47268 @item 
47269 @ref{15a4,,Has_Static_Attribute_Flag()}:
47271 @item 
47272 @ref{15a5,,Has_Signal_List()}:
47274 @item 
47275 @ref{15a6,,Has_Quantity_List()}:
47277 @item 
47278 @ref{15a7,,Has_Designated_Entity()}:
47280 @item 
47281 @ref{15a8,,Has_Formal()}:
47283 @item 
47284 @ref{15a9,,Has_Actual()}:
47286 @item 
47287 @ref{15aa,,Has_Actual_Conversion()}:
47289 @item 
47290 @ref{15ab,,Has_Formal_Conversion()}:
47292 @item 
47293 @ref{15ac,,Has_Whole_Association_Flag()}:
47295 @item 
47296 @ref{15ad,,Has_Collapse_Signal_Flag()}:
47298 @item 
47299 @ref{15ae,,Has_Artificial_Flag()}:
47301 @item 
47302 @ref{15af,,Has_Open_Flag()}:
47304 @item 
47305 @ref{15b0,,Has_After_Drivers_Flag()}:
47307 @item 
47308 @ref{15b1,,Has_We_Value()}:
47310 @item 
47311 @ref{15b2,,Has_Time()}:
47313 @item 
47314 @ref{15b3,,Has_Associated_Expr()}:
47316 @item 
47317 @ref{15b4,,Has_Associated_Block()}:
47319 @item 
47320 @ref{15b5,,Has_Associated_Chain()}:
47322 @item 
47323 @ref{15b6,,Has_Choice_Name()}:
47325 @item 
47326 @ref{15b7,,Has_Choice_Expression()}:
47328 @item 
47329 @ref{15b8,,Has_Choice_Range()}:
47331 @item 
47332 @ref{15b9,,Has_Same_Alternative_Flag()}:
47334 @item 
47335 @ref{15ba,,Has_Element_Type_Flag()}:
47337 @item 
47338 @ref{15bb,,Has_Architecture()}:
47340 @item 
47341 @ref{15bc,,Has_Block_Specification()}:
47343 @item 
47344 @ref{15bd,,Has_Prev_Block_Configuration()}:
47346 @item 
47347 @ref{15be,,Has_Configuration_Item_Chain()}:
47349 @item 
47350 @ref{15bf,,Has_Attribute_Value_Chain()}:
47352 @item 
47353 @ref{15c0,,Has_Spec_Chain()}:
47355 @item 
47356 @ref{15c1,,Has_Value_Chain()}:
47358 @item 
47359 @ref{15c2,,Has_Attribute_Value_Spec_Chain()}:
47361 @item 
47362 @ref{15c3,,Has_Entity_Name()}:
47364 @item 
47365 @ref{15c4,,Has_Package()}:
47367 @item 
47368 @ref{15c5,,Has_Package_Body()}:
47370 @item 
47371 @ref{15c6,,Has_Instance_Package_Body()}:
47373 @item 
47374 @ref{15c7,,Has_Need_Body()}:
47376 @item 
47377 @ref{15c8,,Has_Macro_Expanded_Flag()}:
47379 @item 
47380 @ref{15c9,,Has_Need_Instance_Bodies()}:
47382 @item 
47383 @ref{15ca,,Has_Hierarchical_Name()}:
47385 @item 
47386 @ref{15cb,,Has_Vunit_Item_Chain()}:
47388 @item 
47389 @ref{15cc,,Has_Bound_Vunit_Chain()}:
47391 @item 
47392 @ref{15cd,,Has_Verification_Block_Configuration()}:
47394 @item 
47395 @ref{15ce,,Has_Block_Configuration()}:
47397 @item 
47398 @ref{15cf,,Has_Concurrent_Statement_Chain()}:
47400 @item 
47401 @ref{15d0,,Has_Chain()}:
47403 @item 
47404 @ref{15d1,,Has_Port_Chain()}:
47406 @item 
47407 @ref{15d2,,Has_Generic_Chain()}:
47409 @item 
47410 @ref{15d3,,Has_Type()}:
47412 @item 
47413 @ref{15d4,,Has_Subtype_Indication()}:
47415 @item 
47416 @ref{15d5,,Has_Discrete_Range()}:
47418 @item 
47419 @ref{15d6,,Has_Type_Definition()}:
47421 @item 
47422 @ref{15d7,,Has_Subtype_Definition()}:
47424 @item 
47425 @ref{15d8,,Has_Incomplete_Type_Declaration()}:
47427 @item 
47428 @ref{15d9,,Has_Interface_Type_Subprograms()}:
47430 @item 
47431 @ref{15da,,Has_Nature_Definition()}:
47433 @item 
47434 @ref{15db,,Has_Nature()}:
47436 @item 
47437 @ref{15dc,,Has_Subnature_Indication()}:
47439 @item 
47440 @ref{15dd,,Has_Mode()}:
47442 @item 
47443 @ref{15de,,Has_Guarded_Signal_Flag()}:
47445 @item 
47446 @ref{15df,,Has_Signal_Kind()}:
47448 @item 
47449 @ref{15e0,,Has_Base_Name()}:
47451 @item 
47452 @ref{15e1,,Has_Interface_Declaration_Chain()}:
47454 @item 
47455 @ref{15e2,,Has_Subprogram_Specification()}:
47457 @item 
47458 @ref{15e3,,Has_Sequential_Statement_Chain()}:
47460 @item 
47461 @ref{15e4,,Has_Simultaneous_Statement_Chain()}:
47463 @item 
47464 @ref{15e5,,Has_Subprogram_Body()}:
47466 @item 
47467 @ref{15e6,,Has_Overload_Number()}:
47469 @item 
47470 @ref{15e7,,Has_Subprogram_Depth()}:
47472 @item 
47473 @ref{15e8,,Has_Subprogram_Hash()}:
47475 @item 
47476 @ref{15e9,,Has_Impure_Depth()}:
47478 @item 
47479 @ref{15ea,,Has_Return_Type()}:
47481 @item 
47482 @ref{15eb,,Has_Implicit_Definition()}:
47484 @item 
47485 @ref{15ec,,Has_Uninstantiated_Subprogram_Name()}:
47487 @item 
47488 @ref{15ed,,Has_Default_Value()}:
47490 @item 
47491 @ref{15ee,,Has_Deferred_Declaration()}:
47493 @item 
47494 @ref{15ef,,Has_Deferred_Declaration_Flag()}:
47496 @item 
47497 @ref{15f0,,Has_Shared_Flag()}:
47499 @item 
47500 @ref{15f1,,Has_Design_Unit()}:
47502 @item 
47503 @ref{15f2,,Has_Block_Statement()}:
47505 @item 
47506 @ref{15f3,,Has_Signal_Driver()}:
47508 @item 
47509 @ref{15f4,,Has_Declaration_Chain()}:
47511 @item 
47512 @ref{15f5,,Has_File_Logical_Name()}:
47514 @item 
47515 @ref{15f6,,Has_File_Open_Kind()}:
47517 @item 
47518 @ref{15f7,,Has_Element_Position()}:
47520 @item 
47521 @ref{15f8,,Has_Use_Clause_Chain()}:
47523 @item 
47524 @ref{15f9,,Has_Context_Reference_Chain()}:
47526 @item 
47527 @ref{15fa,,Has_Inherit_Spec_Chain()}:
47529 @item 
47530 @ref{15fb,,Has_Selected_Name()}:
47532 @item 
47533 @ref{15fc,,Has_Type_Declarator()}:
47535 @item 
47536 @ref{15fd,,Has_Complete_Type_Definition()}:
47538 @item 
47539 @ref{15fe,,Has_Incomplete_Type_Ref_Chain()}:
47541 @item 
47542 @ref{15ff,,Has_Associated_Type()}:
47544 @item 
47545 @ref{1600,,Has_Enumeration_Literal_List()}:
47547 @item 
47548 @ref{1601,,Has_Entity_Class_Entry_Chain()}:
47550 @item 
47551 @ref{1602,,Has_Group_Constituent_List()}:
47553 @item 
47554 @ref{1603,,Has_Unit_Chain()}:
47556 @item 
47557 @ref{1604,,Has_Primary_Unit()}:
47559 @item 
47560 @ref{1605,,Has_Identifier()}:
47562 @item 
47563 @ref{1606,,Has_Label()}:
47565 @item 
47566 @ref{1607,,Has_Visible_Flag()}:
47568 @item 
47569 @ref{1608,,Has_Range_Constraint()}:
47571 @item 
47572 @ref{1609,,Has_Direction()}:
47574 @item 
47575 @ref{160a,,Has_Left_Limit()}:
47577 @item 
47578 @ref{160b,,Has_Right_Limit()}:
47580 @item 
47581 @ref{160c,,Has_Left_Limit_Expr()}:
47583 @item 
47584 @ref{160d,,Has_Right_Limit_Expr()}:
47586 @item 
47587 @ref{160e,,Has_Parent_Type()}:
47589 @item 
47590 @ref{160f,,Has_Simple_Nature()}:
47592 @item 
47593 @ref{1610,,Has_Base_Nature()}:
47595 @item 
47596 @ref{1611,,Has_Resolution_Indication()}:
47598 @item 
47599 @ref{1612,,Has_Record_Element_Resolution_Chain()}:
47601 @item 
47602 @ref{1613,,Has_Tolerance()}:
47604 @item 
47605 @ref{1614,,Has_Plus_Terminal_Name()}:
47607 @item 
47608 @ref{1615,,Has_Minus_Terminal_Name()}:
47610 @item 
47611 @ref{1616,,Has_Plus_Terminal()}:
47613 @item 
47614 @ref{1617,,Has_Minus_Terminal()}:
47616 @item 
47617 @ref{1618,,Has_Magnitude_Expression()}:
47619 @item 
47620 @ref{1619,,Has_Phase_Expression()}:
47622 @item 
47623 @ref{161a,,Has_Power_Expression()}:
47625 @item 
47626 @ref{161b,,Has_Simultaneous_Left()}:
47628 @item 
47629 @ref{161c,,Has_Simultaneous_Right()}:
47631 @item 
47632 @ref{161d,,Has_Text_File_Flag()}:
47634 @item 
47635 @ref{161e,,Has_Only_Characters_Flag()}:
47637 @item 
47638 @ref{161f,,Has_Is_Character_Type()}:
47640 @item 
47641 @ref{1620,,Has_Nature_Staticness()}:
47643 @item 
47644 @ref{1621,,Has_Type_Staticness()}:
47646 @item 
47647 @ref{1622,,Has_Constraint_State()}:
47649 @item 
47650 @ref{1623,,Has_Index_Subtype_List()}:
47652 @item 
47653 @ref{1624,,Has_Index_Subtype_Definition_List()}:
47655 @item 
47656 @ref{1625,,Has_Element_Subtype_Indication()}:
47658 @item 
47659 @ref{1626,,Has_Element_Subtype()}:
47661 @item 
47662 @ref{1627,,Has_Element_Subnature_Indication()}:
47664 @item 
47665 @ref{1628,,Has_Element_Subnature()}:
47667 @item 
47668 @ref{1629,,Has_Index_Constraint_List()}:
47670 @item 
47671 @ref{162a,,Has_Array_Element_Constraint()}:
47673 @item 
47674 @ref{162b,,Has_Has_Array_Constraint_Flag()}:
47676 @item 
47677 @ref{162c,,Has_Has_Element_Constraint_Flag()}:
47679 @item 
47680 @ref{162d,,Has_Elements_Declaration_List()}:
47682 @item 
47683 @ref{162e,,Has_Owned_Elements_Chain()}:
47685 @item 
47686 @ref{162f,,Has_Designated_Type()}:
47688 @item 
47689 @ref{1630,,Has_Designated_Subtype_Indication()}:
47691 @item 
47692 @ref{1631,,Has_Index_List()}:
47694 @item 
47695 @ref{1632,,Has_Reference()}:
47697 @item 
47698 @ref{1633,,Has_Nature_Declarator()}:
47700 @item 
47701 @ref{1634,,Has_Across_Type_Mark()}:
47703 @item 
47704 @ref{1635,,Has_Through_Type_Mark()}:
47706 @item 
47707 @ref{1636,,Has_Across_Type_Definition()}:
47709 @item 
47710 @ref{1637,,Has_Through_Type_Definition()}:
47712 @item 
47713 @ref{1638,,Has_Across_Type()}:
47715 @item 
47716 @ref{1639,,Has_Through_Type()}:
47718 @item 
47719 @ref{163a,,Has_Target()}:
47721 @item 
47722 @ref{163b,,Has_Waveform_Chain()}:
47724 @item 
47725 @ref{163c,,Has_Guard()}:
47727 @item 
47728 @ref{163d,,Has_Delay_Mechanism()}:
47730 @item 
47731 @ref{163e,,Has_Reject_Time_Expression()}:
47733 @item 
47734 @ref{163f,,Has_Force_Mode()}:
47736 @item 
47737 @ref{1640,,Has_Has_Force_Mode()}:
47739 @item 
47740 @ref{1641,,Has_Sensitivity_List()}:
47742 @item 
47743 @ref{1642,,Has_Process_Origin()}:
47745 @item 
47746 @ref{1643,,Has_Package_Origin()}:
47748 @item 
47749 @ref{1644,,Has_Condition_Clause()}:
47751 @item 
47752 @ref{1645,,Has_Break_Element()}:
47754 @item 
47755 @ref{1646,,Has_Selector_Quantity()}:
47757 @item 
47758 @ref{1647,,Has_Break_Quantity()}:
47760 @item 
47761 @ref{1648,,Has_Timeout_Clause()}:
47763 @item 
47764 @ref{1649,,Has_Postponed_Flag()}:
47766 @item 
47767 @ref{164a,,Has_Callees_List()}:
47769 @item 
47770 @ref{164b,,Has_Passive_Flag()}:
47772 @item 
47773 @ref{164c,,Has_Resolution_Function_Flag()}:
47775 @item 
47776 @ref{164d,,Has_Wait_State()}:
47778 @item 
47779 @ref{164e,,Has_All_Sensitized_State()}:
47781 @item 
47782 @ref{164f,,Has_Seen_Flag()}:
47784 @item 
47785 @ref{1650,,Has_Pure_Flag()}:
47787 @item 
47788 @ref{1651,,Has_Foreign_Flag()}:
47790 @item 
47791 @ref{1652,,Has_Resolved_Flag()}:
47793 @item 
47794 @ref{1653,,Has_Signal_Type_Flag()}:
47796 @item 
47797 @ref{1654,,Has_Has_Signal_Flag()}:
47799 @item 
47800 @ref{1655,,Has_Purity_State()}:
47802 @item 
47803 @ref{1656,,Has_Elab_Flag()}:
47805 @item 
47806 @ref{1657,,Has_Vendor_Library_Flag()}:
47808 @item 
47809 @ref{1658,,Has_Configuration_Mark_Flag()}:
47811 @item 
47812 @ref{1659,,Has_Configuration_Done_Flag()}:
47814 @item 
47815 @ref{165a,,Has_Index_Constraint_Flag()}:
47817 @item 
47818 @ref{165b,,Has_Hide_Implicit_Flag()}:
47820 @item 
47821 @ref{165c,,Has_Assertion_Condition()}:
47823 @item 
47824 @ref{165d,,Has_Report_Expression()}:
47826 @item 
47827 @ref{165e,,Has_Severity_Expression()}:
47829 @item 
47830 @ref{165f,,Has_Instantiated_Unit()}:
47832 @item 
47833 @ref{1660,,Has_Generic_Map_Aspect_Chain()}:
47835 @item 
47836 @ref{1661,,Has_Port_Map_Aspect_Chain()}:
47838 @item 
47839 @ref{1662,,Has_Configuration_Name()}:
47841 @item 
47842 @ref{1663,,Has_Component_Configuration()}:
47844 @item 
47845 @ref{1664,,Has_Configuration_Specification()}:
47847 @item 
47848 @ref{1665,,Has_Default_Binding_Indication()}:
47850 @item 
47851 @ref{1666,,Has_Default_Configuration_Declaration()}:
47853 @item 
47854 @ref{1667,,Has_Expression()}:
47856 @item 
47857 @ref{1668,,Has_Conditional_Expression_Chain()}:
47859 @item 
47860 @ref{1669,,Has_Allocator_Designated_Type()}:
47862 @item 
47863 @ref{166a,,Has_Selected_Waveform_Chain()}:
47865 @item 
47866 @ref{166b,,Has_Conditional_Waveform_Chain()}:
47868 @item 
47869 @ref{166c,,Has_Guard_Expression()}:
47871 @item 
47872 @ref{166d,,Has_Guard_Decl()}:
47874 @item 
47875 @ref{166e,,Has_Guard_Sensitivity_List()}:
47877 @item 
47878 @ref{166f,,Has_Signal_Attribute_Chain()}:
47880 @item 
47881 @ref{1670,,Has_Block_Block_Configuration()}:
47883 @item 
47884 @ref{1671,,Has_Package_Header()}:
47886 @item 
47887 @ref{1672,,Has_Block_Header()}:
47889 @item 
47890 @ref{1673,,Has_Uninstantiated_Package_Name()}:
47892 @item 
47893 @ref{1674,,Has_Uninstantiated_Package_Decl()}:
47895 @item 
47896 @ref{1675,,Has_Instance_Source_File()}:
47898 @item 
47899 @ref{1676,,Has_Generate_Block_Configuration()}:
47901 @item 
47902 @ref{1677,,Has_Generate_Statement_Body()}:
47904 @item 
47905 @ref{1678,,Has_Alternative_Label()}:
47907 @item 
47908 @ref{1679,,Has_Generate_Else_Clause()}:
47910 @item 
47911 @ref{167a,,Has_Condition()}:
47913 @item 
47914 @ref{167b,,Has_Else_Clause()}:
47916 @item 
47917 @ref{167c,,Has_Parameter_Specification()}:
47919 @item 
47920 @ref{167d,,Has_Parent()}:
47922 @item 
47923 @ref{167e,,Has_Loop_Label()}:
47925 @item 
47926 @ref{167f,,Has_Exit_Flag()}:
47928 @item 
47929 @ref{1680,,Has_Next_Flag()}:
47931 @item 
47932 @ref{1681,,Has_Component_Name()}:
47934 @item 
47935 @ref{1682,,Has_Instantiation_List()}:
47937 @item 
47938 @ref{1683,,Has_Entity_Aspect()}:
47940 @item 
47941 @ref{1684,,Has_Default_Entity_Aspect()}:
47943 @item 
47944 @ref{1685,,Has_Binding_Indication()}:
47946 @item 
47947 @ref{1686,,Has_Named_Entity()}:
47949 @item 
47950 @ref{1687,,Has_Referenced_Name()}:
47952 @item 
47953 @ref{1688,,Has_Expr_Staticness()}:
47955 @item 
47956 @ref{1689,,Has_Scalar_Size()}:
47958 @item 
47959 @ref{168a,,Has_Error_Origin()}:
47961 @item 
47962 @ref{168b,,Has_Operand()}:
47964 @item 
47965 @ref{168c,,Has_Left()}:
47967 @item 
47968 @ref{168d,,Has_Right()}:
47970 @item 
47971 @ref{168e,,Has_Unit_Name()}:
47973 @item 
47974 @ref{168f,,Has_Name()}:
47976 @item 
47977 @ref{1690,,Has_Group_Template_Name()}:
47979 @item 
47980 @ref{1691,,Has_Name_Staticness()}:
47982 @item 
47983 @ref{1692,,Has_Prefix()}:
47985 @item 
47986 @ref{1693,,Has_Signature_Prefix()}:
47988 @item 
47989 @ref{1694,,Has_External_Pathname()}:
47991 @item 
47992 @ref{1695,,Has_Pathname_Suffix()}:
47994 @item 
47995 @ref{1696,,Has_Pathname_Expression()}:
47997 @item 
47998 @ref{1697,,Has_In_Formal_Flag()}:
48000 @item 
48001 @ref{1698,,Has_Slice_Subtype()}:
48003 @item 
48004 @ref{1699,,Has_Suffix()}:
48006 @item 
48007 @ref{169a,,Has_Index_Subtype()}:
48009 @item 
48010 @ref{169b,,Has_Parameter()}:
48012 @item 
48013 @ref{169c,,Has_Parameter_2()}:
48015 @item 
48016 @ref{169d,,Has_Parameter_3()}:
48018 @item 
48019 @ref{169e,,Has_Parameter_4()}:
48021 @item 
48022 @ref{169f,,Has_Attr_Chain()}:
48024 @item 
48025 @ref{16a0,,Has_Signal_Attribute_Declaration()}:
48027 @item 
48028 @ref{16a1,,Has_Actual_Type()}:
48030 @item 
48031 @ref{16a2,,Has_Actual_Type_Definition()}:
48033 @item 
48034 @ref{16a3,,Has_Association_Chain()}:
48036 @item 
48037 @ref{16a4,,Has_Individual_Association_Chain()}:
48039 @item 
48040 @ref{16a5,,Has_Subprogram_Association_Chain()}:
48042 @item 
48043 @ref{16a6,,Has_Aggregate_Info()}:
48045 @item 
48046 @ref{16a7,,Has_Sub_Aggregate_Info()}:
48048 @item 
48049 @ref{16a8,,Has_Aggr_Dynamic_Flag()}:
48051 @item 
48052 @ref{16a9,,Has_Aggr_Min_Length()}:
48054 @item 
48055 @ref{16aa,,Has_Aggr_Low_Limit()}:
48057 @item 
48058 @ref{16ab,,Has_Aggr_High_Limit()}:
48060 @item 
48061 @ref{16ac,,Has_Aggr_Others_Flag()}:
48063 @item 
48064 @ref{16ad,,Has_Aggr_Named_Flag()}:
48066 @item 
48067 @ref{16ae,,Has_Aggregate_Expand_Flag()}:
48069 @item 
48070 @ref{16af,,Has_Association_Choices_Chain()}:
48072 @item 
48073 @ref{16b0,,Has_Case_Statement_Alternative_Chain()}:
48075 @item 
48076 @ref{16b1,,Has_Matching_Flag()}:
48078 @item 
48079 @ref{16b2,,Has_Choice_Staticness()}:
48081 @item 
48082 @ref{16b3,,Has_Procedure_Call()}:
48084 @item 
48085 @ref{16b4,,Has_Implementation()}:
48087 @item 
48088 @ref{16b5,,Has_Parameter_Association_Chain()}:
48090 @item 
48091 @ref{16b6,,Has_Method_Object()}:
48093 @item 
48094 @ref{16b7,,Has_Subtype_Type_Mark()}:
48096 @item 
48097 @ref{16b8,,Has_Subnature_Nature_Mark()}:
48099 @item 
48100 @ref{16b9,,Has_Type_Conversion_Subtype()}:
48102 @item 
48103 @ref{16ba,,Has_Type_Mark()}:
48105 @item 
48106 @ref{16bb,,Has_File_Type_Mark()}:
48108 @item 
48109 @ref{16bc,,Has_Return_Type_Mark()}:
48111 @item 
48112 @ref{16bd,,Has_Has_Disconnect_Flag()}:
48114 @item 
48115 @ref{16be,,Has_Has_Active_Flag()}:
48117 @item 
48118 @ref{16bf,,Has_Is_Within_Flag()}:
48120 @item 
48121 @ref{16c0,,Has_Type_Marks_List()}:
48123 @item 
48124 @ref{16c1,,Has_Implicit_Alias_Flag()}:
48126 @item 
48127 @ref{16c2,,Has_Alias_Signature()}:
48129 @item 
48130 @ref{16c3,,Has_Attribute_Signature()}:
48132 @item 
48133 @ref{16c4,,Has_Overload_List()}:
48135 @item 
48136 @ref{16c5,,Has_Simple_Name_Identifier()}:
48138 @item 
48139 @ref{16c6,,Has_Simple_Name_Subtype()}:
48141 @item 
48142 @ref{16c7,,Has_Protected_Type_Body()}:
48144 @item 
48145 @ref{16c8,,Has_Protected_Type_Declaration()}:
48147 @item 
48148 @ref{16c9,,Has_Use_Flag()}:
48150 @item 
48151 @ref{16ca,,Has_End_Has_Reserved_Id()}:
48153 @item 
48154 @ref{16cb,,Has_End_Has_Identifier()}:
48156 @item 
48157 @ref{16cc,,Has_End_Has_Postponed()}:
48159 @item 
48160 @ref{16cd,,Has_Has_Label()}:
48162 @item 
48163 @ref{16ce,,Has_Has_Begin()}:
48165 @item 
48166 @ref{16cf,,Has_Has_End()}:
48168 @item 
48169 @ref{16d0,,Has_Has_Is()}:
48171 @item 
48172 @ref{16d1,,Has_Has_Pure()}:
48174 @item 
48175 @ref{16d2,,Has_Has_Body()}:
48177 @item 
48178 @ref{16d3,,Has_Has_Parameter()}:
48180 @item 
48181 @ref{16d4,,Has_Has_Component()}:
48183 @item 
48184 @ref{16d5,,Has_Has_Identifier_List()}:
48186 @item 
48187 @ref{16d6,,Has_Has_Mode()}:
48189 @item 
48190 @ref{16d7,,Has_Has_Class()}:
48192 @item 
48193 @ref{16d8,,Has_Has_Delay_Mechanism()}:
48195 @item 
48196 @ref{16d9,,Has_Suspend_Flag()}:
48198 @item 
48199 @ref{16da,,Has_Is_Ref()}:
48201 @item 
48202 @ref{16db,,Has_Is_Forward_Ref()}:
48204 @item 
48205 @ref{16dc,,Has_Psl_Property()}:
48207 @item 
48208 @ref{16dd,,Has_Psl_Sequence()}:
48210 @item 
48211 @ref{16de,,Has_Psl_Declaration()}:
48213 @item 
48214 @ref{16df,,Has_Psl_Expression()}:
48216 @item 
48217 @ref{16e0,,Has_Psl_Boolean()}:
48219 @item 
48220 @ref{16e1,,Has_PSL_Clock()}:
48222 @item 
48223 @ref{16e2,,Has_PSL_NFA()}:
48225 @item 
48226 @ref{16e3,,Has_PSL_Nbr_States()}:
48228 @item 
48229 @ref{16e4,,Has_PSL_Clock_Sensitivity()}:
48231 @item 
48232 @ref{16e5,,Has_PSL_EOS_Flag()}:
48234 @item 
48235 @ref{16e6,,Has_PSL_Abort_Flag()}:
48237 @item 
48238 @ref{16e7,,Has_Count_Expression()}:
48240 @item 
48241 @ref{16e8,,Has_Clock_Expression()}:
48243 @item 
48244 @ref{16e9,,Has_Default_Clock()}:
48246 @item 
48247 @ref{16ea,,Has_Foreign_Node()}:
48248 @end itemize
48250 @c #-----------------------------------
48252 @geindex types (class in pyGHDL.libghdl.vhdl.nodes_meta)
48253 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta types}@anchor{156f}
48254 @deffn {Class} pyGHDL.libghdl.vhdl.nodes_meta.types (value)
48256 An enumeration.
48258 @subsubheading Inheritance
48260 @image{inheritance-3072a9721fc56c46b673d728b6ae8adc67ffa5c5,,,[graphviz],png}
48262 @subsubheading Members
48265 @geindex Boolean (pyGHDL.libghdl.vhdl.nodes_meta.types attribute)
48266 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta types Boolean}@anchor{16eb}
48267 @deffn {Attribute} Boolean  =  0
48268 @end deffn
48270 @geindex Date_State_Type (pyGHDL.libghdl.vhdl.nodes_meta.types attribute)
48271 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta types Date_State_Type}@anchor{16ec}
48272 @deffn {Attribute} Date_State_Type  =  1
48273 @end deffn
48275 @geindex Date_Type (pyGHDL.libghdl.vhdl.nodes_meta.types attribute)
48276 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta types Date_Type}@anchor{16ed}
48277 @deffn {Attribute} Date_Type  =  2
48278 @end deffn
48280 @geindex Direction_Type (pyGHDL.libghdl.vhdl.nodes_meta.types attribute)
48281 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta types Direction_Type}@anchor{16ee}
48282 @deffn {Attribute} Direction_Type  =  3
48283 @end deffn
48285 @geindex File_Checksum_Id (pyGHDL.libghdl.vhdl.nodes_meta.types attribute)
48286 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta types File_Checksum_Id}@anchor{16ef}
48287 @deffn {Attribute} File_Checksum_Id  =  4
48288 @end deffn
48290 @geindex Fp64 (pyGHDL.libghdl.vhdl.nodes_meta.types attribute)
48291 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta types Fp64}@anchor{16f0}
48292 @deffn {Attribute} Fp64  =  5
48293 @end deffn
48295 @geindex Iir (pyGHDL.libghdl.vhdl.nodes_meta.types attribute)
48296 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta types Iir}@anchor{16f1}
48297 @deffn {Attribute} Iir  =  6
48298 @end deffn
48300 @geindex Iir_All_Sensitized (pyGHDL.libghdl.vhdl.nodes_meta.types attribute)
48301 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta types Iir_All_Sensitized}@anchor{16f2}
48302 @deffn {Attribute} Iir_All_Sensitized  =  7
48303 @end deffn
48305 @geindex Iir_Constraint (pyGHDL.libghdl.vhdl.nodes_meta.types attribute)
48306 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta types Iir_Constraint}@anchor{16f3}
48307 @deffn {Attribute} Iir_Constraint  =  8
48308 @end deffn
48310 @geindex Iir_Delay_Mechanism (pyGHDL.libghdl.vhdl.nodes_meta.types attribute)
48311 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta types Iir_Delay_Mechanism}@anchor{16f4}
48312 @deffn {Attribute} Iir_Delay_Mechanism  =  9
48313 @end deffn
48315 @geindex Iir_Flist (pyGHDL.libghdl.vhdl.nodes_meta.types attribute)
48316 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta types Iir_Flist}@anchor{16f5}
48317 @deffn {Attribute} Iir_Flist  =  10
48318 @end deffn
48320 @geindex Iir_Force_Mode (pyGHDL.libghdl.vhdl.nodes_meta.types attribute)
48321 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta types Iir_Force_Mode}@anchor{16f6}
48322 @deffn {Attribute} Iir_Force_Mode  =  11
48323 @end deffn
48325 @geindex Iir_Index32 (pyGHDL.libghdl.vhdl.nodes_meta.types attribute)
48326 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta types Iir_Index32}@anchor{16f7}
48327 @deffn {Attribute} Iir_Index32  =  12
48328 @end deffn
48330 @geindex Iir_Int32 (pyGHDL.libghdl.vhdl.nodes_meta.types attribute)
48331 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta types Iir_Int32}@anchor{16f8}
48332 @deffn {Attribute} Iir_Int32  =  13
48333 @end deffn
48335 @geindex Iir_List (pyGHDL.libghdl.vhdl.nodes_meta.types attribute)
48336 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta types Iir_List}@anchor{16f9}
48337 @deffn {Attribute} Iir_List  =  14
48338 @end deffn
48340 @geindex Iir_Mode (pyGHDL.libghdl.vhdl.nodes_meta.types attribute)
48341 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta types Iir_Mode}@anchor{16fa}
48342 @deffn {Attribute} Iir_Mode  =  15
48343 @end deffn
48345 @geindex Iir_Predefined_Functions (pyGHDL.libghdl.vhdl.nodes_meta.types attribute)
48346 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta types Iir_Predefined_Functions}@anchor{16fb}
48347 @deffn {Attribute} Iir_Predefined_Functions  =  16
48348 @end deffn
48350 @geindex Iir_Pure_State (pyGHDL.libghdl.vhdl.nodes_meta.types attribute)
48351 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta types Iir_Pure_State}@anchor{16fc}
48352 @deffn {Attribute} Iir_Pure_State  =  17
48353 @end deffn
48355 @geindex Iir_Signal_Kind (pyGHDL.libghdl.vhdl.nodes_meta.types attribute)
48356 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta types Iir_Signal_Kind}@anchor{16fd}
48357 @deffn {Attribute} Iir_Signal_Kind  =  18
48358 @end deffn
48360 @geindex Iir_Staticness (pyGHDL.libghdl.vhdl.nodes_meta.types attribute)
48361 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta types Iir_Staticness}@anchor{16fe}
48362 @deffn {Attribute} Iir_Staticness  =  19
48363 @end deffn
48365 @geindex Int32 (pyGHDL.libghdl.vhdl.nodes_meta.types attribute)
48366 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta types Int32}@anchor{16ff}
48367 @deffn {Attribute} Int32  =  20
48368 @end deffn
48370 @geindex Int64 (pyGHDL.libghdl.vhdl.nodes_meta.types attribute)
48371 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta types Int64}@anchor{1700}
48372 @deffn {Attribute} Int64  =  21
48373 @end deffn
48375 @geindex Name_Id (pyGHDL.libghdl.vhdl.nodes_meta.types attribute)
48376 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta types Name_Id}@anchor{1701}
48377 @deffn {Attribute} Name_Id  =  22
48378 @end deffn
48380 @geindex Number_Base_Type (pyGHDL.libghdl.vhdl.nodes_meta.types attribute)
48381 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta types Number_Base_Type}@anchor{1702}
48382 @deffn {Attribute} Number_Base_Type  =  23
48383 @end deffn
48385 @geindex PSL_NFA (pyGHDL.libghdl.vhdl.nodes_meta.types attribute)
48386 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta types PSL_NFA}@anchor{1703}
48387 @deffn {Attribute} PSL_NFA  =  24
48388 @end deffn
48390 @geindex PSL_Node (pyGHDL.libghdl.vhdl.nodes_meta.types attribute)
48391 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta types PSL_Node}@anchor{1704}
48392 @deffn {Attribute} PSL_Node  =  25
48393 @end deffn
48395 @geindex Scalar_Size (pyGHDL.libghdl.vhdl.nodes_meta.types attribute)
48396 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta types Scalar_Size}@anchor{1705}
48397 @deffn {Attribute} Scalar_Size  =  26
48398 @end deffn
48400 @geindex Source_File_Entry (pyGHDL.libghdl.vhdl.nodes_meta.types attribute)
48401 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta types Source_File_Entry}@anchor{1706}
48402 @deffn {Attribute} Source_File_Entry  =  27
48403 @end deffn
48405 @geindex Source_Ptr (pyGHDL.libghdl.vhdl.nodes_meta.types attribute)
48406 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta types Source_Ptr}@anchor{1707}
48407 @deffn {Attribute} Source_Ptr  =  28
48408 @end deffn
48410 @geindex String8_Id (pyGHDL.libghdl.vhdl.nodes_meta.types attribute)
48411 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta types String8_Id}@anchor{1708}
48412 @deffn {Attribute} String8_Id  =  29
48413 @end deffn
48415 @geindex Time_Stamp_Id (pyGHDL.libghdl.vhdl.nodes_meta.types attribute)
48416 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta types Time_Stamp_Id}@anchor{1709}
48417 @deffn {Attribute} Time_Stamp_Id  =  30
48418 @end deffn
48420 @geindex Token_Type (pyGHDL.libghdl.vhdl.nodes_meta.types attribute)
48421 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta types Token_Type}@anchor{170a}
48422 @deffn {Attribute} Token_Type  =  31
48423 @end deffn
48425 @geindex Tri_State_Type (pyGHDL.libghdl.vhdl.nodes_meta.types attribute)
48426 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta types Tri_State_Type}@anchor{170b}
48427 @deffn {Attribute} Tri_State_Type  =  32
48428 @end deffn
48429 @end deffn
48431 @geindex Attr (class in pyGHDL.libghdl.vhdl.nodes_meta)
48432 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Attr}@anchor{1570}
48433 @deffn {Class} pyGHDL.libghdl.vhdl.nodes_meta.Attr (value)
48435 An enumeration.
48437 @subsubheading Inheritance
48439 @image{inheritance-ad47dd5b49620e77250b398840f12ca82cd81d6a,,,[graphviz],png}
48441 @subsubheading Members
48444 @geindex ANone (pyGHDL.libghdl.vhdl.nodes_meta.Attr attribute)
48445 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Attr ANone}@anchor{170c}
48446 @deffn {Attribute} ANone  =  0
48447 @end deffn
48449 @geindex Chain (pyGHDL.libghdl.vhdl.nodes_meta.Attr attribute)
48450 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Attr Chain}@anchor{170d}
48451 @deffn {Attribute} Chain  =  1
48452 @end deffn
48454 @geindex Chain_Next (pyGHDL.libghdl.vhdl.nodes_meta.Attr attribute)
48455 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Attr Chain_Next}@anchor{170e}
48456 @deffn {Attribute} Chain_Next  =  2
48457 @end deffn
48459 @geindex Forward_Ref (pyGHDL.libghdl.vhdl.nodes_meta.Attr attribute)
48460 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Attr Forward_Ref}@anchor{170f}
48461 @deffn {Attribute} Forward_Ref  =  3
48462 @end deffn
48464 @geindex Maybe_Forward_Ref (pyGHDL.libghdl.vhdl.nodes_meta.Attr attribute)
48465 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Attr Maybe_Forward_Ref}@anchor{1710}
48466 @deffn {Attribute} Maybe_Forward_Ref  =  4
48467 @end deffn
48469 @geindex Maybe_Ref (pyGHDL.libghdl.vhdl.nodes_meta.Attr attribute)
48470 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Attr Maybe_Ref}@anchor{1711}
48471 @deffn {Attribute} Maybe_Ref  =  5
48472 @end deffn
48474 @geindex Of_Maybe_Ref (pyGHDL.libghdl.vhdl.nodes_meta.Attr attribute)
48475 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Attr Of_Maybe_Ref}@anchor{1712}
48476 @deffn {Attribute} Of_Maybe_Ref  =  6
48477 @end deffn
48479 @geindex Of_Ref (pyGHDL.libghdl.vhdl.nodes_meta.Attr attribute)
48480 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Attr Of_Ref}@anchor{1713}
48481 @deffn {Attribute} Of_Ref  =  7
48482 @end deffn
48484 @geindex Ref (pyGHDL.libghdl.vhdl.nodes_meta.Attr attribute)
48485 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Attr Ref}@anchor{1714}
48486 @deffn {Attribute} Ref  =  8
48487 @end deffn
48488 @end deffn
48490 @geindex fields (class in pyGHDL.libghdl.vhdl.nodes_meta)
48491 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields}@anchor{1571}
48492 @deffn {Class} pyGHDL.libghdl.vhdl.nodes_meta.fields (value)
48494 An enumeration.
48496 @subsubheading Inheritance
48498 @image{inheritance-083f42d976e3b5b84453f40a30362f0b1bec9ba8,,,[graphviz],png}
48500 @subsubheading Members
48503 @geindex First_Design_Unit (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
48504 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields First_Design_Unit}@anchor{1715}
48505 @deffn {Attribute} First_Design_Unit  =  0
48506 @end deffn
48508 @geindex Last_Design_Unit (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
48509 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Last_Design_Unit}@anchor{1716}
48510 @deffn {Attribute} Last_Design_Unit  =  1
48511 @end deffn
48513 @geindex Library_Declaration (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
48514 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Library_Declaration}@anchor{1717}
48515 @deffn {Attribute} Library_Declaration  =  2
48516 @end deffn
48518 @geindex File_Checksum (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
48519 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields File_Checksum}@anchor{1718}
48520 @deffn {Attribute} File_Checksum  =  3
48521 @end deffn
48523 @geindex Analysis_Time_Stamp (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
48524 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Analysis_Time_Stamp}@anchor{1719}
48525 @deffn {Attribute} Analysis_Time_Stamp  =  4
48526 @end deffn
48528 @geindex Design_File_Source (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
48529 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Design_File_Source}@anchor{171a}
48530 @deffn {Attribute} Design_File_Source  =  5
48531 @end deffn
48533 @geindex Library (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
48534 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Library}@anchor{171b}
48535 @deffn {Attribute} Library  =  6
48536 @end deffn
48538 @geindex File_Dependence_List (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
48539 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields File_Dependence_List}@anchor{171c}
48540 @deffn {Attribute} File_Dependence_List  =  7
48541 @end deffn
48543 @geindex Design_File_Filename (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
48544 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Design_File_Filename}@anchor{171d}
48545 @deffn {Attribute} Design_File_Filename  =  8
48546 @end deffn
48548 @geindex Design_File_Directory (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
48549 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Design_File_Directory}@anchor{171e}
48550 @deffn {Attribute} Design_File_Directory  =  9
48551 @end deffn
48553 @geindex Design_File (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
48554 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Design_File}@anchor{171f}
48555 @deffn {Attribute} Design_File  =  10
48556 @end deffn
48558 @geindex Design_File_Chain (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
48559 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Design_File_Chain}@anchor{1720}
48560 @deffn {Attribute} Design_File_Chain  =  11
48561 @end deffn
48563 @geindex Library_Directory (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
48564 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Library_Directory}@anchor{1721}
48565 @deffn {Attribute} Library_Directory  =  12
48566 @end deffn
48568 @geindex Date (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
48569 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Date}@anchor{1722}
48570 @deffn {Attribute} Date  =  13
48571 @end deffn
48573 @geindex Context_Items (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
48574 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Context_Items}@anchor{1723}
48575 @deffn {Attribute} Context_Items  =  14
48576 @end deffn
48578 @geindex Dependence_List (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
48579 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Dependence_List}@anchor{1724}
48580 @deffn {Attribute} Dependence_List  =  15
48581 @end deffn
48583 @geindex Analysis_Checks_List (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
48584 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Analysis_Checks_List}@anchor{1725}
48585 @deffn {Attribute} Analysis_Checks_List  =  16
48586 @end deffn
48588 @geindex Date_State (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
48589 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Date_State}@anchor{1726}
48590 @deffn {Attribute} Date_State  =  17
48591 @end deffn
48593 @geindex Guarded_Target_State (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
48594 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Guarded_Target_State}@anchor{1727}
48595 @deffn {Attribute} Guarded_Target_State  =  18
48596 @end deffn
48598 @geindex Library_Unit (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
48599 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Library_Unit}@anchor{1728}
48600 @deffn {Attribute} Library_Unit  =  19
48601 @end deffn
48603 @geindex Hash_Chain (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
48604 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Hash_Chain}@anchor{1729}
48605 @deffn {Attribute} Hash_Chain  =  20
48606 @end deffn
48608 @geindex Design_Unit_Source_Pos (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
48609 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Design_Unit_Source_Pos}@anchor{172a}
48610 @deffn {Attribute} Design_Unit_Source_Pos  =  21
48611 @end deffn
48613 @geindex Design_Unit_Source_Line (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
48614 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Design_Unit_Source_Line}@anchor{172b}
48615 @deffn {Attribute} Design_Unit_Source_Line  =  22
48616 @end deffn
48618 @geindex Design_Unit_Source_Col (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
48619 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Design_Unit_Source_Col}@anchor{172c}
48620 @deffn {Attribute} Design_Unit_Source_Col  =  23
48621 @end deffn
48623 @geindex Value (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
48624 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Value}@anchor{172d}
48625 @deffn {Attribute} Value  =  24
48626 @end deffn
48628 @geindex Enum_Pos (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
48629 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Enum_Pos}@anchor{172e}
48630 @deffn {Attribute} Enum_Pos  =  25
48631 @end deffn
48633 @geindex Physical_Literal (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
48634 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Physical_Literal}@anchor{172f}
48635 @deffn {Attribute} Physical_Literal  =  26
48636 @end deffn
48638 @geindex Fp_Value (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
48639 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Fp_Value}@anchor{1730}
48640 @deffn {Attribute} Fp_Value  =  27
48641 @end deffn
48643 @geindex Simple_Aggregate_List (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
48644 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Simple_Aggregate_List}@anchor{1731}
48645 @deffn {Attribute} Simple_Aggregate_List  =  28
48646 @end deffn
48648 @geindex String8_Id (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
48649 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields String8_Id}@anchor{1732}
48650 @deffn {Attribute} String8_Id  =  29
48651 @end deffn
48653 @geindex String_Length (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
48654 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields String_Length}@anchor{1733}
48655 @deffn {Attribute} String_Length  =  30
48656 @end deffn
48658 @geindex Bit_String_Base (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
48659 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Bit_String_Base}@anchor{1734}
48660 @deffn {Attribute} Bit_String_Base  =  31
48661 @end deffn
48663 @geindex Has_Signed (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
48664 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Has_Signed}@anchor{1735}
48665 @deffn {Attribute} Has_Signed  =  32
48666 @end deffn
48668 @geindex Has_Sign (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
48669 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Has_Sign}@anchor{1736}
48670 @deffn {Attribute} Has_Sign  =  33
48671 @end deffn
48673 @geindex Has_Length (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
48674 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Has_Length}@anchor{1737}
48675 @deffn {Attribute} Has_Length  =  34
48676 @end deffn
48678 @geindex Literal_Length (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
48679 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Literal_Length}@anchor{1738}
48680 @deffn {Attribute} Literal_Length  =  35
48681 @end deffn
48683 @geindex Literal_Origin (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
48684 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Literal_Origin}@anchor{1739}
48685 @deffn {Attribute} Literal_Origin  =  36
48686 @end deffn
48688 @geindex Range_Origin (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
48689 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Range_Origin}@anchor{173a}
48690 @deffn {Attribute} Range_Origin  =  37
48691 @end deffn
48693 @geindex Literal_Subtype (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
48694 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Literal_Subtype}@anchor{173b}
48695 @deffn {Attribute} Literal_Subtype  =  38
48696 @end deffn
48698 @geindex Allocator_Subtype (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
48699 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Allocator_Subtype}@anchor{173c}
48700 @deffn {Attribute} Allocator_Subtype  =  39
48701 @end deffn
48703 @geindex Entity_Class (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
48704 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Entity_Class}@anchor{173d}
48705 @deffn {Attribute} Entity_Class  =  40
48706 @end deffn
48708 @geindex Entity_Name_List (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
48709 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Entity_Name_List}@anchor{173e}
48710 @deffn {Attribute} Entity_Name_List  =  41
48711 @end deffn
48713 @geindex Attribute_Designator (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
48714 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Attribute_Designator}@anchor{173f}
48715 @deffn {Attribute} Attribute_Designator  =  42
48716 @end deffn
48718 @geindex Attribute_Specification_Chain (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
48719 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Attribute_Specification_Chain}@anchor{1740}
48720 @deffn {Attribute} Attribute_Specification_Chain  =  43
48721 @end deffn
48723 @geindex Attribute_Specification (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
48724 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Attribute_Specification}@anchor{1741}
48725 @deffn {Attribute} Attribute_Specification  =  44
48726 @end deffn
48728 @geindex Static_Attribute_Flag (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
48729 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Static_Attribute_Flag}@anchor{1742}
48730 @deffn {Attribute} Static_Attribute_Flag  =  45
48731 @end deffn
48733 @geindex Signal_List (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
48734 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Signal_List}@anchor{1743}
48735 @deffn {Attribute} Signal_List  =  46
48736 @end deffn
48738 @geindex Quantity_List (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
48739 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Quantity_List}@anchor{1744}
48740 @deffn {Attribute} Quantity_List  =  47
48741 @end deffn
48743 @geindex Designated_Entity (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
48744 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Designated_Entity}@anchor{1745}
48745 @deffn {Attribute} Designated_Entity  =  48
48746 @end deffn
48748 @geindex Formal (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
48749 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Formal}@anchor{1746}
48750 @deffn {Attribute} Formal  =  49
48751 @end deffn
48753 @geindex Actual (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
48754 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Actual}@anchor{1747}
48755 @deffn {Attribute} Actual  =  50
48756 @end deffn
48758 @geindex Actual_Conversion (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
48759 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Actual_Conversion}@anchor{1748}
48760 @deffn {Attribute} Actual_Conversion  =  51
48761 @end deffn
48763 @geindex Formal_Conversion (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
48764 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Formal_Conversion}@anchor{1749}
48765 @deffn {Attribute} Formal_Conversion  =  52
48766 @end deffn
48768 @geindex Whole_Association_Flag (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
48769 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Whole_Association_Flag}@anchor{174a}
48770 @deffn {Attribute} Whole_Association_Flag  =  53
48771 @end deffn
48773 @geindex Collapse_Signal_Flag (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
48774 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Collapse_Signal_Flag}@anchor{174b}
48775 @deffn {Attribute} Collapse_Signal_Flag  =  54
48776 @end deffn
48778 @geindex Artificial_Flag (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
48779 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Artificial_Flag}@anchor{174c}
48780 @deffn {Attribute} Artificial_Flag  =  55
48781 @end deffn
48783 @geindex Open_Flag (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
48784 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Open_Flag}@anchor{174d}
48785 @deffn {Attribute} Open_Flag  =  56
48786 @end deffn
48788 @geindex After_Drivers_Flag (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
48789 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields After_Drivers_Flag}@anchor{174e}
48790 @deffn {Attribute} After_Drivers_Flag  =  57
48791 @end deffn
48793 @geindex We_Value (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
48794 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields We_Value}@anchor{174f}
48795 @deffn {Attribute} We_Value  =  58
48796 @end deffn
48798 @geindex Time (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
48799 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Time}@anchor{1750}
48800 @deffn {Attribute} Time  =  59
48801 @end deffn
48803 @geindex Associated_Expr (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
48804 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Associated_Expr}@anchor{1751}
48805 @deffn {Attribute} Associated_Expr  =  60
48806 @end deffn
48808 @geindex Associated_Block (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
48809 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Associated_Block}@anchor{1752}
48810 @deffn {Attribute} Associated_Block  =  61
48811 @end deffn
48813 @geindex Associated_Chain (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
48814 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Associated_Chain}@anchor{1753}
48815 @deffn {Attribute} Associated_Chain  =  62
48816 @end deffn
48818 @geindex Choice_Name (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
48819 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Choice_Name}@anchor{1754}
48820 @deffn {Attribute} Choice_Name  =  63
48821 @end deffn
48823 @geindex Choice_Expression (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
48824 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Choice_Expression}@anchor{1755}
48825 @deffn {Attribute} Choice_Expression  =  64
48826 @end deffn
48828 @geindex Choice_Range (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
48829 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Choice_Range}@anchor{1756}
48830 @deffn {Attribute} Choice_Range  =  65
48831 @end deffn
48833 @geindex Same_Alternative_Flag (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
48834 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Same_Alternative_Flag}@anchor{1757}
48835 @deffn {Attribute} Same_Alternative_Flag  =  66
48836 @end deffn
48838 @geindex Element_Type_Flag (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
48839 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Element_Type_Flag}@anchor{1758}
48840 @deffn {Attribute} Element_Type_Flag  =  67
48841 @end deffn
48843 @geindex Architecture (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
48844 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Architecture}@anchor{1759}
48845 @deffn {Attribute} Architecture  =  68
48846 @end deffn
48848 @geindex Block_Specification (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
48849 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Block_Specification}@anchor{175a}
48850 @deffn {Attribute} Block_Specification  =  69
48851 @end deffn
48853 @geindex Prev_Block_Configuration (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
48854 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Prev_Block_Configuration}@anchor{175b}
48855 @deffn {Attribute} Prev_Block_Configuration  =  70
48856 @end deffn
48858 @geindex Configuration_Item_Chain (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
48859 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Configuration_Item_Chain}@anchor{175c}
48860 @deffn {Attribute} Configuration_Item_Chain  =  71
48861 @end deffn
48863 @geindex Attribute_Value_Chain (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
48864 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Attribute_Value_Chain}@anchor{175d}
48865 @deffn {Attribute} Attribute_Value_Chain  =  72
48866 @end deffn
48868 @geindex Spec_Chain (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
48869 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Spec_Chain}@anchor{175e}
48870 @deffn {Attribute} Spec_Chain  =  73
48871 @end deffn
48873 @geindex Value_Chain (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
48874 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Value_Chain}@anchor{175f}
48875 @deffn {Attribute} Value_Chain  =  74
48876 @end deffn
48878 @geindex Attribute_Value_Spec_Chain (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
48879 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Attribute_Value_Spec_Chain}@anchor{1760}
48880 @deffn {Attribute} Attribute_Value_Spec_Chain  =  75
48881 @end deffn
48883 @geindex Entity_Name (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
48884 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Entity_Name}@anchor{1761}
48885 @deffn {Attribute} Entity_Name  =  76
48886 @end deffn
48888 @geindex Package (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
48889 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Package}@anchor{1762}
48890 @deffn {Attribute} Package  =  77
48891 @end deffn
48893 @geindex Package_Body (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
48894 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Package_Body}@anchor{1763}
48895 @deffn {Attribute} Package_Body  =  78
48896 @end deffn
48898 @geindex Instance_Package_Body (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
48899 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Instance_Package_Body}@anchor{1764}
48900 @deffn {Attribute} Instance_Package_Body  =  79
48901 @end deffn
48903 @geindex Need_Body (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
48904 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Need_Body}@anchor{1765}
48905 @deffn {Attribute} Need_Body  =  80
48906 @end deffn
48908 @geindex Macro_Expanded_Flag (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
48909 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Macro_Expanded_Flag}@anchor{1766}
48910 @deffn {Attribute} Macro_Expanded_Flag  =  81
48911 @end deffn
48913 @geindex Need_Instance_Bodies (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
48914 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Need_Instance_Bodies}@anchor{1767}
48915 @deffn {Attribute} Need_Instance_Bodies  =  82
48916 @end deffn
48918 @geindex Hierarchical_Name (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
48919 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Hierarchical_Name}@anchor{1768}
48920 @deffn {Attribute} Hierarchical_Name  =  83
48921 @end deffn
48923 @geindex Vunit_Item_Chain (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
48924 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Vunit_Item_Chain}@anchor{1769}
48925 @deffn {Attribute} Vunit_Item_Chain  =  84
48926 @end deffn
48928 @geindex Bound_Vunit_Chain (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
48929 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Bound_Vunit_Chain}@anchor{176a}
48930 @deffn {Attribute} Bound_Vunit_Chain  =  85
48931 @end deffn
48933 @geindex Verification_Block_Configuration (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
48934 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Verification_Block_Configuration}@anchor{176b}
48935 @deffn {Attribute} Verification_Block_Configuration  =  86
48936 @end deffn
48938 @geindex Block_Configuration (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
48939 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Block_Configuration}@anchor{176c}
48940 @deffn {Attribute} Block_Configuration  =  87
48941 @end deffn
48943 @geindex Concurrent_Statement_Chain (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
48944 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Concurrent_Statement_Chain}@anchor{176d}
48945 @deffn {Attribute} Concurrent_Statement_Chain  =  88
48946 @end deffn
48948 @geindex Chain (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
48949 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Chain}@anchor{176e}
48950 @deffn {Attribute} Chain  =  89
48951 @end deffn
48953 @geindex Port_Chain (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
48954 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Port_Chain}@anchor{176f}
48955 @deffn {Attribute} Port_Chain  =  90
48956 @end deffn
48958 @geindex Generic_Chain (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
48959 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Generic_Chain}@anchor{1770}
48960 @deffn {Attribute} Generic_Chain  =  91
48961 @end deffn
48963 @geindex Type (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
48964 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Type}@anchor{1771}
48965 @deffn {Attribute} Type  =  92
48966 @end deffn
48968 @geindex Subtype_Indication (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
48969 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Subtype_Indication}@anchor{1772}
48970 @deffn {Attribute} Subtype_Indication  =  93
48971 @end deffn
48973 @geindex Discrete_Range (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
48974 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Discrete_Range}@anchor{1773}
48975 @deffn {Attribute} Discrete_Range  =  94
48976 @end deffn
48978 @geindex Type_Definition (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
48979 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Type_Definition}@anchor{1774}
48980 @deffn {Attribute} Type_Definition  =  95
48981 @end deffn
48983 @geindex Subtype_Definition (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
48984 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Subtype_Definition}@anchor{1775}
48985 @deffn {Attribute} Subtype_Definition  =  96
48986 @end deffn
48988 @geindex Incomplete_Type_Declaration (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
48989 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Incomplete_Type_Declaration}@anchor{1776}
48990 @deffn {Attribute} Incomplete_Type_Declaration  =  97
48991 @end deffn
48993 @geindex Interface_Type_Subprograms (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
48994 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Interface_Type_Subprograms}@anchor{1777}
48995 @deffn {Attribute} Interface_Type_Subprograms  =  98
48996 @end deffn
48998 @geindex Nature_Definition (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
48999 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Nature_Definition}@anchor{1778}
49000 @deffn {Attribute} Nature_Definition  =  99
49001 @end deffn
49003 @geindex Nature (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
49004 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Nature}@anchor{1779}
49005 @deffn {Attribute} Nature  =  100
49006 @end deffn
49008 @geindex Subnature_Indication (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
49009 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Subnature_Indication}@anchor{177a}
49010 @deffn {Attribute} Subnature_Indication  =  101
49011 @end deffn
49013 @geindex Mode (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
49014 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Mode}@anchor{177b}
49015 @deffn {Attribute} Mode  =  102
49016 @end deffn
49018 @geindex Guarded_Signal_Flag (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
49019 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Guarded_Signal_Flag}@anchor{177c}
49020 @deffn {Attribute} Guarded_Signal_Flag  =  103
49021 @end deffn
49023 @geindex Signal_Kind (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
49024 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Signal_Kind}@anchor{177d}
49025 @deffn {Attribute} Signal_Kind  =  104
49026 @end deffn
49028 @geindex Base_Name (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
49029 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Base_Name}@anchor{177e}
49030 @deffn {Attribute} Base_Name  =  105
49031 @end deffn
49033 @geindex Interface_Declaration_Chain (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
49034 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Interface_Declaration_Chain}@anchor{177f}
49035 @deffn {Attribute} Interface_Declaration_Chain  =  106
49036 @end deffn
49038 @geindex Subprogram_Specification (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
49039 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Subprogram_Specification}@anchor{1780}
49040 @deffn {Attribute} Subprogram_Specification  =  107
49041 @end deffn
49043 @geindex Sequential_Statement_Chain (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
49044 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Sequential_Statement_Chain}@anchor{1781}
49045 @deffn {Attribute} Sequential_Statement_Chain  =  108
49046 @end deffn
49048 @geindex Simultaneous_Statement_Chain (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
49049 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Simultaneous_Statement_Chain}@anchor{1782}
49050 @deffn {Attribute} Simultaneous_Statement_Chain  =  109
49051 @end deffn
49053 @geindex Subprogram_Body (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
49054 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Subprogram_Body}@anchor{1783}
49055 @deffn {Attribute} Subprogram_Body  =  110
49056 @end deffn
49058 @geindex Overload_Number (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
49059 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Overload_Number}@anchor{1784}
49060 @deffn {Attribute} Overload_Number  =  111
49061 @end deffn
49063 @geindex Subprogram_Depth (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
49064 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Subprogram_Depth}@anchor{1785}
49065 @deffn {Attribute} Subprogram_Depth  =  112
49066 @end deffn
49068 @geindex Subprogram_Hash (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
49069 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Subprogram_Hash}@anchor{1786}
49070 @deffn {Attribute} Subprogram_Hash  =  113
49071 @end deffn
49073 @geindex Impure_Depth (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
49074 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Impure_Depth}@anchor{1787}
49075 @deffn {Attribute} Impure_Depth  =  114
49076 @end deffn
49078 @geindex Return_Type (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
49079 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Return_Type}@anchor{1788}
49080 @deffn {Attribute} Return_Type  =  115
49081 @end deffn
49083 @geindex Implicit_Definition (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
49084 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Implicit_Definition}@anchor{1789}
49085 @deffn {Attribute} Implicit_Definition  =  116
49086 @end deffn
49088 @geindex Uninstantiated_Subprogram_Name (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
49089 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Uninstantiated_Subprogram_Name}@anchor{178a}
49090 @deffn {Attribute} Uninstantiated_Subprogram_Name  =  117
49091 @end deffn
49093 @geindex Default_Value (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
49094 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Default_Value}@anchor{178b}
49095 @deffn {Attribute} Default_Value  =  118
49096 @end deffn
49098 @geindex Deferred_Declaration (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
49099 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Deferred_Declaration}@anchor{178c}
49100 @deffn {Attribute} Deferred_Declaration  =  119
49101 @end deffn
49103 @geindex Deferred_Declaration_Flag (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
49104 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Deferred_Declaration_Flag}@anchor{178d}
49105 @deffn {Attribute} Deferred_Declaration_Flag  =  120
49106 @end deffn
49108 @geindex Shared_Flag (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
49109 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Shared_Flag}@anchor{178e}
49110 @deffn {Attribute} Shared_Flag  =  121
49111 @end deffn
49113 @geindex Design_Unit (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
49114 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Design_Unit}@anchor{178f}
49115 @deffn {Attribute} Design_Unit  =  122
49116 @end deffn
49118 @geindex Block_Statement (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
49119 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Block_Statement}@anchor{1790}
49120 @deffn {Attribute} Block_Statement  =  123
49121 @end deffn
49123 @geindex Signal_Driver (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
49124 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Signal_Driver}@anchor{1791}
49125 @deffn {Attribute} Signal_Driver  =  124
49126 @end deffn
49128 @geindex Declaration_Chain (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
49129 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Declaration_Chain}@anchor{1792}
49130 @deffn {Attribute} Declaration_Chain  =  125
49131 @end deffn
49133 @geindex File_Logical_Name (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
49134 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields File_Logical_Name}@anchor{1793}
49135 @deffn {Attribute} File_Logical_Name  =  126
49136 @end deffn
49138 @geindex File_Open_Kind (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
49139 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields File_Open_Kind}@anchor{1794}
49140 @deffn {Attribute} File_Open_Kind  =  127
49141 @end deffn
49143 @geindex Element_Position (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
49144 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Element_Position}@anchor{1795}
49145 @deffn {Attribute} Element_Position  =  128
49146 @end deffn
49148 @geindex Use_Clause_Chain (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
49149 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Use_Clause_Chain}@anchor{1796}
49150 @deffn {Attribute} Use_Clause_Chain  =  129
49151 @end deffn
49153 @geindex Context_Reference_Chain (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
49154 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Context_Reference_Chain}@anchor{1797}
49155 @deffn {Attribute} Context_Reference_Chain  =  130
49156 @end deffn
49158 @geindex Inherit_Spec_Chain (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
49159 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Inherit_Spec_Chain}@anchor{1798}
49160 @deffn {Attribute} Inherit_Spec_Chain  =  131
49161 @end deffn
49163 @geindex Selected_Name (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
49164 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Selected_Name}@anchor{1799}
49165 @deffn {Attribute} Selected_Name  =  132
49166 @end deffn
49168 @geindex Type_Declarator (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
49169 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Type_Declarator}@anchor{179a}
49170 @deffn {Attribute} Type_Declarator  =  133
49171 @end deffn
49173 @geindex Complete_Type_Definition (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
49174 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Complete_Type_Definition}@anchor{179b}
49175 @deffn {Attribute} Complete_Type_Definition  =  134
49176 @end deffn
49178 @geindex Incomplete_Type_Ref_Chain (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
49179 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Incomplete_Type_Ref_Chain}@anchor{179c}
49180 @deffn {Attribute} Incomplete_Type_Ref_Chain  =  135
49181 @end deffn
49183 @geindex Associated_Type (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
49184 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Associated_Type}@anchor{179d}
49185 @deffn {Attribute} Associated_Type  =  136
49186 @end deffn
49188 @geindex Enumeration_Literal_List (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
49189 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Enumeration_Literal_List}@anchor{179e}
49190 @deffn {Attribute} Enumeration_Literal_List  =  137
49191 @end deffn
49193 @geindex Entity_Class_Entry_Chain (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
49194 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Entity_Class_Entry_Chain}@anchor{179f}
49195 @deffn {Attribute} Entity_Class_Entry_Chain  =  138
49196 @end deffn
49198 @geindex Group_Constituent_List (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
49199 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Group_Constituent_List}@anchor{17a0}
49200 @deffn {Attribute} Group_Constituent_List  =  139
49201 @end deffn
49203 @geindex Unit_Chain (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
49204 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Unit_Chain}@anchor{17a1}
49205 @deffn {Attribute} Unit_Chain  =  140
49206 @end deffn
49208 @geindex Primary_Unit (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
49209 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Primary_Unit}@anchor{17a2}
49210 @deffn {Attribute} Primary_Unit  =  141
49211 @end deffn
49213 @geindex Identifier (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
49214 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Identifier}@anchor{17a3}
49215 @deffn {Attribute} Identifier  =  142
49216 @end deffn
49218 @geindex Label (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
49219 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Label}@anchor{17a4}
49220 @deffn {Attribute} Label  =  143
49221 @end deffn
49223 @geindex Visible_Flag (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
49224 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Visible_Flag}@anchor{17a5}
49225 @deffn {Attribute} Visible_Flag  =  144
49226 @end deffn
49228 @geindex Range_Constraint (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
49229 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Range_Constraint}@anchor{17a6}
49230 @deffn {Attribute} Range_Constraint  =  145
49231 @end deffn
49233 @geindex Direction (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
49234 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Direction}@anchor{17a7}
49235 @deffn {Attribute} Direction  =  146
49236 @end deffn
49238 @geindex Left_Limit (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
49239 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Left_Limit}@anchor{17a8}
49240 @deffn {Attribute} Left_Limit  =  147
49241 @end deffn
49243 @geindex Right_Limit (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
49244 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Right_Limit}@anchor{17a9}
49245 @deffn {Attribute} Right_Limit  =  148
49246 @end deffn
49248 @geindex Left_Limit_Expr (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
49249 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Left_Limit_Expr}@anchor{17aa}
49250 @deffn {Attribute} Left_Limit_Expr  =  149
49251 @end deffn
49253 @geindex Right_Limit_Expr (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
49254 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Right_Limit_Expr}@anchor{17ab}
49255 @deffn {Attribute} Right_Limit_Expr  =  150
49256 @end deffn
49258 @geindex Parent_Type (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
49259 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Parent_Type}@anchor{17ac}
49260 @deffn {Attribute} Parent_Type  =  151
49261 @end deffn
49263 @geindex Simple_Nature (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
49264 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Simple_Nature}@anchor{17ad}
49265 @deffn {Attribute} Simple_Nature  =  152
49266 @end deffn
49268 @geindex Base_Nature (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
49269 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Base_Nature}@anchor{17ae}
49270 @deffn {Attribute} Base_Nature  =  153
49271 @end deffn
49273 @geindex Resolution_Indication (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
49274 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Resolution_Indication}@anchor{17af}
49275 @deffn {Attribute} Resolution_Indication  =  154
49276 @end deffn
49278 @geindex Record_Element_Resolution_Chain (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
49279 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Record_Element_Resolution_Chain}@anchor{17b0}
49280 @deffn {Attribute} Record_Element_Resolution_Chain  =  155
49281 @end deffn
49283 @geindex Tolerance (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
49284 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Tolerance}@anchor{17b1}
49285 @deffn {Attribute} Tolerance  =  156
49286 @end deffn
49288 @geindex Plus_Terminal_Name (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
49289 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Plus_Terminal_Name}@anchor{17b2}
49290 @deffn {Attribute} Plus_Terminal_Name  =  157
49291 @end deffn
49293 @geindex Minus_Terminal_Name (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
49294 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Minus_Terminal_Name}@anchor{17b3}
49295 @deffn {Attribute} Minus_Terminal_Name  =  158
49296 @end deffn
49298 @geindex Plus_Terminal (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
49299 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Plus_Terminal}@anchor{17b4}
49300 @deffn {Attribute} Plus_Terminal  =  159
49301 @end deffn
49303 @geindex Minus_Terminal (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
49304 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Minus_Terminal}@anchor{17b5}
49305 @deffn {Attribute} Minus_Terminal  =  160
49306 @end deffn
49308 @geindex Magnitude_Expression (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
49309 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Magnitude_Expression}@anchor{17b6}
49310 @deffn {Attribute} Magnitude_Expression  =  161
49311 @end deffn
49313 @geindex Phase_Expression (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
49314 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Phase_Expression}@anchor{17b7}
49315 @deffn {Attribute} Phase_Expression  =  162
49316 @end deffn
49318 @geindex Power_Expression (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
49319 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Power_Expression}@anchor{17b8}
49320 @deffn {Attribute} Power_Expression  =  163
49321 @end deffn
49323 @geindex Simultaneous_Left (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
49324 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Simultaneous_Left}@anchor{17b9}
49325 @deffn {Attribute} Simultaneous_Left  =  164
49326 @end deffn
49328 @geindex Simultaneous_Right (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
49329 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Simultaneous_Right}@anchor{17ba}
49330 @deffn {Attribute} Simultaneous_Right  =  165
49331 @end deffn
49333 @geindex Text_File_Flag (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
49334 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Text_File_Flag}@anchor{17bb}
49335 @deffn {Attribute} Text_File_Flag  =  166
49336 @end deffn
49338 @geindex Only_Characters_Flag (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
49339 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Only_Characters_Flag}@anchor{17bc}
49340 @deffn {Attribute} Only_Characters_Flag  =  167
49341 @end deffn
49343 @geindex Is_Character_Type (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
49344 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Is_Character_Type}@anchor{17bd}
49345 @deffn {Attribute} Is_Character_Type  =  168
49346 @end deffn
49348 @geindex Nature_Staticness (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
49349 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Nature_Staticness}@anchor{17be}
49350 @deffn {Attribute} Nature_Staticness  =  169
49351 @end deffn
49353 @geindex Type_Staticness (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
49354 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Type_Staticness}@anchor{17bf}
49355 @deffn {Attribute} Type_Staticness  =  170
49356 @end deffn
49358 @geindex Constraint_State (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
49359 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Constraint_State}@anchor{17c0}
49360 @deffn {Attribute} Constraint_State  =  171
49361 @end deffn
49363 @geindex Index_Subtype_List (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
49364 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Index_Subtype_List}@anchor{17c1}
49365 @deffn {Attribute} Index_Subtype_List  =  172
49366 @end deffn
49368 @geindex Index_Subtype_Definition_List (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
49369 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Index_Subtype_Definition_List}@anchor{17c2}
49370 @deffn {Attribute} Index_Subtype_Definition_List  =  173
49371 @end deffn
49373 @geindex Element_Subtype_Indication (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
49374 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Element_Subtype_Indication}@anchor{17c3}
49375 @deffn {Attribute} Element_Subtype_Indication  =  174
49376 @end deffn
49378 @geindex Element_Subtype (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
49379 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Element_Subtype}@anchor{17c4}
49380 @deffn {Attribute} Element_Subtype  =  175
49381 @end deffn
49383 @geindex Element_Subnature_Indication (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
49384 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Element_Subnature_Indication}@anchor{17c5}
49385 @deffn {Attribute} Element_Subnature_Indication  =  176
49386 @end deffn
49388 @geindex Element_Subnature (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
49389 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Element_Subnature}@anchor{17c6}
49390 @deffn {Attribute} Element_Subnature  =  177
49391 @end deffn
49393 @geindex Index_Constraint_List (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
49394 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Index_Constraint_List}@anchor{17c7}
49395 @deffn {Attribute} Index_Constraint_List  =  178
49396 @end deffn
49398 @geindex Array_Element_Constraint (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
49399 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Array_Element_Constraint}@anchor{17c8}
49400 @deffn {Attribute} Array_Element_Constraint  =  179
49401 @end deffn
49403 @geindex Has_Array_Constraint_Flag (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
49404 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Has_Array_Constraint_Flag}@anchor{17c9}
49405 @deffn {Attribute} Has_Array_Constraint_Flag  =  180
49406 @end deffn
49408 @geindex Has_Element_Constraint_Flag (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
49409 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Has_Element_Constraint_Flag}@anchor{17ca}
49410 @deffn {Attribute} Has_Element_Constraint_Flag  =  181
49411 @end deffn
49413 @geindex Elements_Declaration_List (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
49414 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Elements_Declaration_List}@anchor{17cb}
49415 @deffn {Attribute} Elements_Declaration_List  =  182
49416 @end deffn
49418 @geindex Owned_Elements_Chain (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
49419 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Owned_Elements_Chain}@anchor{17cc}
49420 @deffn {Attribute} Owned_Elements_Chain  =  183
49421 @end deffn
49423 @geindex Designated_Type (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
49424 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Designated_Type}@anchor{17cd}
49425 @deffn {Attribute} Designated_Type  =  184
49426 @end deffn
49428 @geindex Designated_Subtype_Indication (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
49429 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Designated_Subtype_Indication}@anchor{17ce}
49430 @deffn {Attribute} Designated_Subtype_Indication  =  185
49431 @end deffn
49433 @geindex Index_List (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
49434 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Index_List}@anchor{17cf}
49435 @deffn {Attribute} Index_List  =  186
49436 @end deffn
49438 @geindex Reference (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
49439 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Reference}@anchor{17d0}
49440 @deffn {Attribute} Reference  =  187
49441 @end deffn
49443 @geindex Nature_Declarator (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
49444 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Nature_Declarator}@anchor{17d1}
49445 @deffn {Attribute} Nature_Declarator  =  188
49446 @end deffn
49448 @geindex Across_Type_Mark (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
49449 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Across_Type_Mark}@anchor{17d2}
49450 @deffn {Attribute} Across_Type_Mark  =  189
49451 @end deffn
49453 @geindex Through_Type_Mark (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
49454 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Through_Type_Mark}@anchor{17d3}
49455 @deffn {Attribute} Through_Type_Mark  =  190
49456 @end deffn
49458 @geindex Across_Type_Definition (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
49459 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Across_Type_Definition}@anchor{17d4}
49460 @deffn {Attribute} Across_Type_Definition  =  191
49461 @end deffn
49463 @geindex Through_Type_Definition (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
49464 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Through_Type_Definition}@anchor{17d5}
49465 @deffn {Attribute} Through_Type_Definition  =  192
49466 @end deffn
49468 @geindex Across_Type (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
49469 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Across_Type}@anchor{17d6}
49470 @deffn {Attribute} Across_Type  =  193
49471 @end deffn
49473 @geindex Through_Type (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
49474 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Through_Type}@anchor{17d7}
49475 @deffn {Attribute} Through_Type  =  194
49476 @end deffn
49478 @geindex Target (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
49479 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Target}@anchor{17d8}
49480 @deffn {Attribute} Target  =  195
49481 @end deffn
49483 @geindex Waveform_Chain (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
49484 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Waveform_Chain}@anchor{17d9}
49485 @deffn {Attribute} Waveform_Chain  =  196
49486 @end deffn
49488 @geindex Guard (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
49489 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Guard}@anchor{17da}
49490 @deffn {Attribute} Guard  =  197
49491 @end deffn
49493 @geindex Delay_Mechanism (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
49494 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Delay_Mechanism}@anchor{17db}
49495 @deffn {Attribute} Delay_Mechanism  =  198
49496 @end deffn
49498 @geindex Reject_Time_Expression (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
49499 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Reject_Time_Expression}@anchor{17dc}
49500 @deffn {Attribute} Reject_Time_Expression  =  199
49501 @end deffn
49503 @geindex Force_Mode (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
49504 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Force_Mode}@anchor{17dd}
49505 @deffn {Attribute} Force_Mode  =  200
49506 @end deffn
49508 @geindex Has_Force_Mode (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
49509 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Has_Force_Mode}@anchor{17de}
49510 @deffn {Attribute} Has_Force_Mode  =  201
49511 @end deffn
49513 @geindex Sensitivity_List (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
49514 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Sensitivity_List}@anchor{17df}
49515 @deffn {Attribute} Sensitivity_List  =  202
49516 @end deffn
49518 @geindex Process_Origin (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
49519 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Process_Origin}@anchor{17e0}
49520 @deffn {Attribute} Process_Origin  =  203
49521 @end deffn
49523 @geindex Package_Origin (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
49524 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Package_Origin}@anchor{17e1}
49525 @deffn {Attribute} Package_Origin  =  204
49526 @end deffn
49528 @geindex Condition_Clause (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
49529 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Condition_Clause}@anchor{17e2}
49530 @deffn {Attribute} Condition_Clause  =  205
49531 @end deffn
49533 @geindex Break_Element (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
49534 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Break_Element}@anchor{17e3}
49535 @deffn {Attribute} Break_Element  =  206
49536 @end deffn
49538 @geindex Selector_Quantity (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
49539 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Selector_Quantity}@anchor{17e4}
49540 @deffn {Attribute} Selector_Quantity  =  207
49541 @end deffn
49543 @geindex Break_Quantity (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
49544 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Break_Quantity}@anchor{17e5}
49545 @deffn {Attribute} Break_Quantity  =  208
49546 @end deffn
49548 @geindex Timeout_Clause (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
49549 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Timeout_Clause}@anchor{17e6}
49550 @deffn {Attribute} Timeout_Clause  =  209
49551 @end deffn
49553 @geindex Postponed_Flag (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
49554 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Postponed_Flag}@anchor{17e7}
49555 @deffn {Attribute} Postponed_Flag  =  210
49556 @end deffn
49558 @geindex Callees_List (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
49559 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Callees_List}@anchor{17e8}
49560 @deffn {Attribute} Callees_List  =  211
49561 @end deffn
49563 @geindex Passive_Flag (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
49564 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Passive_Flag}@anchor{17e9}
49565 @deffn {Attribute} Passive_Flag  =  212
49566 @end deffn
49568 @geindex Resolution_Function_Flag (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
49569 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Resolution_Function_Flag}@anchor{17ea}
49570 @deffn {Attribute} Resolution_Function_Flag  =  213
49571 @end deffn
49573 @geindex Wait_State (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
49574 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Wait_State}@anchor{17eb}
49575 @deffn {Attribute} Wait_State  =  214
49576 @end deffn
49578 @geindex All_Sensitized_State (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
49579 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields All_Sensitized_State}@anchor{17ec}
49580 @deffn {Attribute} All_Sensitized_State  =  215
49581 @end deffn
49583 @geindex Seen_Flag (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
49584 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Seen_Flag}@anchor{17ed}
49585 @deffn {Attribute} Seen_Flag  =  216
49586 @end deffn
49588 @geindex Pure_Flag (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
49589 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Pure_Flag}@anchor{17ee}
49590 @deffn {Attribute} Pure_Flag  =  217
49591 @end deffn
49593 @geindex Foreign_Flag (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
49594 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Foreign_Flag}@anchor{17ef}
49595 @deffn {Attribute} Foreign_Flag  =  218
49596 @end deffn
49598 @geindex Resolved_Flag (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
49599 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Resolved_Flag}@anchor{17f0}
49600 @deffn {Attribute} Resolved_Flag  =  219
49601 @end deffn
49603 @geindex Signal_Type_Flag (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
49604 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Signal_Type_Flag}@anchor{17f1}
49605 @deffn {Attribute} Signal_Type_Flag  =  220
49606 @end deffn
49608 @geindex Has_Signal_Flag (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
49609 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Has_Signal_Flag}@anchor{17f2}
49610 @deffn {Attribute} Has_Signal_Flag  =  221
49611 @end deffn
49613 @geindex Purity_State (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
49614 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Purity_State}@anchor{17f3}
49615 @deffn {Attribute} Purity_State  =  222
49616 @end deffn
49618 @geindex Elab_Flag (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
49619 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Elab_Flag}@anchor{17f4}
49620 @deffn {Attribute} Elab_Flag  =  223
49621 @end deffn
49623 @geindex Vendor_Library_Flag (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
49624 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Vendor_Library_Flag}@anchor{17f5}
49625 @deffn {Attribute} Vendor_Library_Flag  =  224
49626 @end deffn
49628 @geindex Configuration_Mark_Flag (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
49629 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Configuration_Mark_Flag}@anchor{17f6}
49630 @deffn {Attribute} Configuration_Mark_Flag  =  225
49631 @end deffn
49633 @geindex Configuration_Done_Flag (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
49634 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Configuration_Done_Flag}@anchor{17f7}
49635 @deffn {Attribute} Configuration_Done_Flag  =  226
49636 @end deffn
49638 @geindex Index_Constraint_Flag (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
49639 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Index_Constraint_Flag}@anchor{17f8}
49640 @deffn {Attribute} Index_Constraint_Flag  =  227
49641 @end deffn
49643 @geindex Hide_Implicit_Flag (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
49644 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Hide_Implicit_Flag}@anchor{17f9}
49645 @deffn {Attribute} Hide_Implicit_Flag  =  228
49646 @end deffn
49648 @geindex Assertion_Condition (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
49649 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Assertion_Condition}@anchor{17fa}
49650 @deffn {Attribute} Assertion_Condition  =  229
49651 @end deffn
49653 @geindex Report_Expression (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
49654 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Report_Expression}@anchor{17fb}
49655 @deffn {Attribute} Report_Expression  =  230
49656 @end deffn
49658 @geindex Severity_Expression (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
49659 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Severity_Expression}@anchor{17fc}
49660 @deffn {Attribute} Severity_Expression  =  231
49661 @end deffn
49663 @geindex Instantiated_Unit (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
49664 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Instantiated_Unit}@anchor{17fd}
49665 @deffn {Attribute} Instantiated_Unit  =  232
49666 @end deffn
49668 @geindex Generic_Map_Aspect_Chain (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
49669 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Generic_Map_Aspect_Chain}@anchor{17fe}
49670 @deffn {Attribute} Generic_Map_Aspect_Chain  =  233
49671 @end deffn
49673 @geindex Port_Map_Aspect_Chain (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
49674 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Port_Map_Aspect_Chain}@anchor{17ff}
49675 @deffn {Attribute} Port_Map_Aspect_Chain  =  234
49676 @end deffn
49678 @geindex Configuration_Name (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
49679 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Configuration_Name}@anchor{1800}
49680 @deffn {Attribute} Configuration_Name  =  235
49681 @end deffn
49683 @geindex Component_Configuration (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
49684 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Component_Configuration}@anchor{1801}
49685 @deffn {Attribute} Component_Configuration  =  236
49686 @end deffn
49688 @geindex Configuration_Specification (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
49689 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Configuration_Specification}@anchor{1802}
49690 @deffn {Attribute} Configuration_Specification  =  237
49691 @end deffn
49693 @geindex Default_Binding_Indication (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
49694 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Default_Binding_Indication}@anchor{1803}
49695 @deffn {Attribute} Default_Binding_Indication  =  238
49696 @end deffn
49698 @geindex Default_Configuration_Declaration (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
49699 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Default_Configuration_Declaration}@anchor{1804}
49700 @deffn {Attribute} Default_Configuration_Declaration  =  239
49701 @end deffn
49703 @geindex Expression (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
49704 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Expression}@anchor{1805}
49705 @deffn {Attribute} Expression  =  240
49706 @end deffn
49708 @geindex Conditional_Expression_Chain (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
49709 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Conditional_Expression_Chain}@anchor{1806}
49710 @deffn {Attribute} Conditional_Expression_Chain  =  241
49711 @end deffn
49713 @geindex Allocator_Designated_Type (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
49714 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Allocator_Designated_Type}@anchor{1807}
49715 @deffn {Attribute} Allocator_Designated_Type  =  242
49716 @end deffn
49718 @geindex Selected_Waveform_Chain (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
49719 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Selected_Waveform_Chain}@anchor{1808}
49720 @deffn {Attribute} Selected_Waveform_Chain  =  243
49721 @end deffn
49723 @geindex Conditional_Waveform_Chain (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
49724 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Conditional_Waveform_Chain}@anchor{1809}
49725 @deffn {Attribute} Conditional_Waveform_Chain  =  244
49726 @end deffn
49728 @geindex Guard_Expression (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
49729 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Guard_Expression}@anchor{180a}
49730 @deffn {Attribute} Guard_Expression  =  245
49731 @end deffn
49733 @geindex Guard_Decl (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
49734 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Guard_Decl}@anchor{180b}
49735 @deffn {Attribute} Guard_Decl  =  246
49736 @end deffn
49738 @geindex Guard_Sensitivity_List (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
49739 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Guard_Sensitivity_List}@anchor{180c}
49740 @deffn {Attribute} Guard_Sensitivity_List  =  247
49741 @end deffn
49743 @geindex Signal_Attribute_Chain (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
49744 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Signal_Attribute_Chain}@anchor{180d}
49745 @deffn {Attribute} Signal_Attribute_Chain  =  248
49746 @end deffn
49748 @geindex Block_Block_Configuration (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
49749 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Block_Block_Configuration}@anchor{180e}
49750 @deffn {Attribute} Block_Block_Configuration  =  249
49751 @end deffn
49753 @geindex Package_Header (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
49754 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Package_Header}@anchor{180f}
49755 @deffn {Attribute} Package_Header  =  250
49756 @end deffn
49758 @geindex Block_Header (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
49759 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Block_Header}@anchor{1810}
49760 @deffn {Attribute} Block_Header  =  251
49761 @end deffn
49763 @geindex Uninstantiated_Package_Name (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
49764 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Uninstantiated_Package_Name}@anchor{1811}
49765 @deffn {Attribute} Uninstantiated_Package_Name  =  252
49766 @end deffn
49768 @geindex Uninstantiated_Package_Decl (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
49769 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Uninstantiated_Package_Decl}@anchor{1812}
49770 @deffn {Attribute} Uninstantiated_Package_Decl  =  253
49771 @end deffn
49773 @geindex Instance_Source_File (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
49774 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Instance_Source_File}@anchor{1813}
49775 @deffn {Attribute} Instance_Source_File  =  254
49776 @end deffn
49778 @geindex Generate_Block_Configuration (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
49779 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Generate_Block_Configuration}@anchor{1814}
49780 @deffn {Attribute} Generate_Block_Configuration  =  255
49781 @end deffn
49783 @geindex Generate_Statement_Body (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
49784 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Generate_Statement_Body}@anchor{1815}
49785 @deffn {Attribute} Generate_Statement_Body  =  256
49786 @end deffn
49788 @geindex Alternative_Label (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
49789 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Alternative_Label}@anchor{1816}
49790 @deffn {Attribute} Alternative_Label  =  257
49791 @end deffn
49793 @geindex Generate_Else_Clause (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
49794 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Generate_Else_Clause}@anchor{1817}
49795 @deffn {Attribute} Generate_Else_Clause  =  258
49796 @end deffn
49798 @geindex Condition (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
49799 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Condition}@anchor{1818}
49800 @deffn {Attribute} Condition  =  259
49801 @end deffn
49803 @geindex Else_Clause (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
49804 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Else_Clause}@anchor{1819}
49805 @deffn {Attribute} Else_Clause  =  260
49806 @end deffn
49808 @geindex Parameter_Specification (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
49809 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Parameter_Specification}@anchor{181a}
49810 @deffn {Attribute} Parameter_Specification  =  261
49811 @end deffn
49813 @geindex Parent (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
49814 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Parent}@anchor{181b}
49815 @deffn {Attribute} Parent  =  262
49816 @end deffn
49818 @geindex Loop_Label (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
49819 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Loop_Label}@anchor{181c}
49820 @deffn {Attribute} Loop_Label  =  263
49821 @end deffn
49823 @geindex Exit_Flag (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
49824 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Exit_Flag}@anchor{181d}
49825 @deffn {Attribute} Exit_Flag  =  264
49826 @end deffn
49828 @geindex Next_Flag (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
49829 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Next_Flag}@anchor{181e}
49830 @deffn {Attribute} Next_Flag  =  265
49831 @end deffn
49833 @geindex Component_Name (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
49834 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Component_Name}@anchor{181f}
49835 @deffn {Attribute} Component_Name  =  266
49836 @end deffn
49838 @geindex Instantiation_List (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
49839 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Instantiation_List}@anchor{1820}
49840 @deffn {Attribute} Instantiation_List  =  267
49841 @end deffn
49843 @geindex Entity_Aspect (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
49844 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Entity_Aspect}@anchor{1821}
49845 @deffn {Attribute} Entity_Aspect  =  268
49846 @end deffn
49848 @geindex Default_Entity_Aspect (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
49849 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Default_Entity_Aspect}@anchor{1822}
49850 @deffn {Attribute} Default_Entity_Aspect  =  269
49851 @end deffn
49853 @geindex Binding_Indication (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
49854 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Binding_Indication}@anchor{1823}
49855 @deffn {Attribute} Binding_Indication  =  270
49856 @end deffn
49858 @geindex Named_Entity (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
49859 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Named_Entity}@anchor{1824}
49860 @deffn {Attribute} Named_Entity  =  271
49861 @end deffn
49863 @geindex Referenced_Name (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
49864 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Referenced_Name}@anchor{1825}
49865 @deffn {Attribute} Referenced_Name  =  272
49866 @end deffn
49868 @geindex Expr_Staticness (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
49869 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Expr_Staticness}@anchor{1826}
49870 @deffn {Attribute} Expr_Staticness  =  273
49871 @end deffn
49873 @geindex Scalar_Size (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
49874 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Scalar_Size}@anchor{1827}
49875 @deffn {Attribute} Scalar_Size  =  274
49876 @end deffn
49878 @geindex Error_Origin (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
49879 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Error_Origin}@anchor{1828}
49880 @deffn {Attribute} Error_Origin  =  275
49881 @end deffn
49883 @geindex Operand (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
49884 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Operand}@anchor{1829}
49885 @deffn {Attribute} Operand  =  276
49886 @end deffn
49888 @geindex Left (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
49889 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Left}@anchor{182a}
49890 @deffn {Attribute} Left  =  277
49891 @end deffn
49893 @geindex Right (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
49894 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Right}@anchor{182b}
49895 @deffn {Attribute} Right  =  278
49896 @end deffn
49898 @geindex Unit_Name (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
49899 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Unit_Name}@anchor{182c}
49900 @deffn {Attribute} Unit_Name  =  279
49901 @end deffn
49903 @geindex Name (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
49904 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Name}@anchor{182d}
49905 @deffn {Attribute} Name  =  280
49906 @end deffn
49908 @geindex Group_Template_Name (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
49909 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Group_Template_Name}@anchor{182e}
49910 @deffn {Attribute} Group_Template_Name  =  281
49911 @end deffn
49913 @geindex Name_Staticness (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
49914 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Name_Staticness}@anchor{182f}
49915 @deffn {Attribute} Name_Staticness  =  282
49916 @end deffn
49918 @geindex Prefix (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
49919 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Prefix}@anchor{1830}
49920 @deffn {Attribute} Prefix  =  283
49921 @end deffn
49923 @geindex Signature_Prefix (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
49924 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Signature_Prefix}@anchor{1831}
49925 @deffn {Attribute} Signature_Prefix  =  284
49926 @end deffn
49928 @geindex External_Pathname (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
49929 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields External_Pathname}@anchor{1832}
49930 @deffn {Attribute} External_Pathname  =  285
49931 @end deffn
49933 @geindex Pathname_Suffix (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
49934 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Pathname_Suffix}@anchor{1833}
49935 @deffn {Attribute} Pathname_Suffix  =  286
49936 @end deffn
49938 @geindex Pathname_Expression (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
49939 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Pathname_Expression}@anchor{1834}
49940 @deffn {Attribute} Pathname_Expression  =  287
49941 @end deffn
49943 @geindex In_Formal_Flag (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
49944 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields In_Formal_Flag}@anchor{1835}
49945 @deffn {Attribute} In_Formal_Flag  =  288
49946 @end deffn
49948 @geindex Slice_Subtype (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
49949 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Slice_Subtype}@anchor{1836}
49950 @deffn {Attribute} Slice_Subtype  =  289
49951 @end deffn
49953 @geindex Suffix (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
49954 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Suffix}@anchor{1837}
49955 @deffn {Attribute} Suffix  =  290
49956 @end deffn
49958 @geindex Index_Subtype (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
49959 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Index_Subtype}@anchor{1838}
49960 @deffn {Attribute} Index_Subtype  =  291
49961 @end deffn
49963 @geindex Parameter (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
49964 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Parameter}@anchor{1839}
49965 @deffn {Attribute} Parameter  =  292
49966 @end deffn
49968 @geindex Parameter_2 (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
49969 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Parameter_2}@anchor{183a}
49970 @deffn {Attribute} Parameter_2  =  293
49971 @end deffn
49973 @geindex Parameter_3 (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
49974 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Parameter_3}@anchor{183b}
49975 @deffn {Attribute} Parameter_3  =  294
49976 @end deffn
49978 @geindex Parameter_4 (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
49979 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Parameter_4}@anchor{183c}
49980 @deffn {Attribute} Parameter_4  =  295
49981 @end deffn
49983 @geindex Attr_Chain (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
49984 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Attr_Chain}@anchor{183d}
49985 @deffn {Attribute} Attr_Chain  =  296
49986 @end deffn
49988 @geindex Signal_Attribute_Declaration (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
49989 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Signal_Attribute_Declaration}@anchor{183e}
49990 @deffn {Attribute} Signal_Attribute_Declaration  =  297
49991 @end deffn
49993 @geindex Actual_Type (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
49994 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Actual_Type}@anchor{183f}
49995 @deffn {Attribute} Actual_Type  =  298
49996 @end deffn
49998 @geindex Actual_Type_Definition (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
49999 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Actual_Type_Definition}@anchor{1840}
50000 @deffn {Attribute} Actual_Type_Definition  =  299
50001 @end deffn
50003 @geindex Association_Chain (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
50004 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Association_Chain}@anchor{1841}
50005 @deffn {Attribute} Association_Chain  =  300
50006 @end deffn
50008 @geindex Individual_Association_Chain (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
50009 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Individual_Association_Chain}@anchor{1842}
50010 @deffn {Attribute} Individual_Association_Chain  =  301
50011 @end deffn
50013 @geindex Subprogram_Association_Chain (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
50014 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Subprogram_Association_Chain}@anchor{1843}
50015 @deffn {Attribute} Subprogram_Association_Chain  =  302
50016 @end deffn
50018 @geindex Aggregate_Info (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
50019 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Aggregate_Info}@anchor{1844}
50020 @deffn {Attribute} Aggregate_Info  =  303
50021 @end deffn
50023 @geindex Sub_Aggregate_Info (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
50024 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Sub_Aggregate_Info}@anchor{1845}
50025 @deffn {Attribute} Sub_Aggregate_Info  =  304
50026 @end deffn
50028 @geindex Aggr_Dynamic_Flag (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
50029 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Aggr_Dynamic_Flag}@anchor{1846}
50030 @deffn {Attribute} Aggr_Dynamic_Flag  =  305
50031 @end deffn
50033 @geindex Aggr_Min_Length (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
50034 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Aggr_Min_Length}@anchor{1847}
50035 @deffn {Attribute} Aggr_Min_Length  =  306
50036 @end deffn
50038 @geindex Aggr_Low_Limit (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
50039 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Aggr_Low_Limit}@anchor{1848}
50040 @deffn {Attribute} Aggr_Low_Limit  =  307
50041 @end deffn
50043 @geindex Aggr_High_Limit (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
50044 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Aggr_High_Limit}@anchor{1849}
50045 @deffn {Attribute} Aggr_High_Limit  =  308
50046 @end deffn
50048 @geindex Aggr_Others_Flag (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
50049 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Aggr_Others_Flag}@anchor{184a}
50050 @deffn {Attribute} Aggr_Others_Flag  =  309
50051 @end deffn
50053 @geindex Aggr_Named_Flag (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
50054 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Aggr_Named_Flag}@anchor{184b}
50055 @deffn {Attribute} Aggr_Named_Flag  =  310
50056 @end deffn
50058 @geindex Aggregate_Expand_Flag (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
50059 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Aggregate_Expand_Flag}@anchor{184c}
50060 @deffn {Attribute} Aggregate_Expand_Flag  =  311
50061 @end deffn
50063 @geindex Association_Choices_Chain (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
50064 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Association_Choices_Chain}@anchor{184d}
50065 @deffn {Attribute} Association_Choices_Chain  =  312
50066 @end deffn
50068 @geindex Case_Statement_Alternative_Chain (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
50069 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Case_Statement_Alternative_Chain}@anchor{184e}
50070 @deffn {Attribute} Case_Statement_Alternative_Chain  =  313
50071 @end deffn
50073 @geindex Matching_Flag (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
50074 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Matching_Flag}@anchor{184f}
50075 @deffn {Attribute} Matching_Flag  =  314
50076 @end deffn
50078 @geindex Choice_Staticness (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
50079 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Choice_Staticness}@anchor{1850}
50080 @deffn {Attribute} Choice_Staticness  =  315
50081 @end deffn
50083 @geindex Procedure_Call (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
50084 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Procedure_Call}@anchor{1851}
50085 @deffn {Attribute} Procedure_Call  =  316
50086 @end deffn
50088 @geindex Implementation (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
50089 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Implementation}@anchor{1852}
50090 @deffn {Attribute} Implementation  =  317
50091 @end deffn
50093 @geindex Parameter_Association_Chain (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
50094 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Parameter_Association_Chain}@anchor{1853}
50095 @deffn {Attribute} Parameter_Association_Chain  =  318
50096 @end deffn
50098 @geindex Method_Object (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
50099 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Method_Object}@anchor{1854}
50100 @deffn {Attribute} Method_Object  =  319
50101 @end deffn
50103 @geindex Subtype_Type_Mark (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
50104 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Subtype_Type_Mark}@anchor{1855}
50105 @deffn {Attribute} Subtype_Type_Mark  =  320
50106 @end deffn
50108 @geindex Subnature_Nature_Mark (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
50109 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Subnature_Nature_Mark}@anchor{1856}
50110 @deffn {Attribute} Subnature_Nature_Mark  =  321
50111 @end deffn
50113 @geindex Type_Conversion_Subtype (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
50114 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Type_Conversion_Subtype}@anchor{1857}
50115 @deffn {Attribute} Type_Conversion_Subtype  =  322
50116 @end deffn
50118 @geindex Type_Mark (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
50119 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Type_Mark}@anchor{1858}
50120 @deffn {Attribute} Type_Mark  =  323
50121 @end deffn
50123 @geindex File_Type_Mark (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
50124 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields File_Type_Mark}@anchor{1859}
50125 @deffn {Attribute} File_Type_Mark  =  324
50126 @end deffn
50128 @geindex Return_Type_Mark (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
50129 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Return_Type_Mark}@anchor{185a}
50130 @deffn {Attribute} Return_Type_Mark  =  325
50131 @end deffn
50133 @geindex Has_Disconnect_Flag (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
50134 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Has_Disconnect_Flag}@anchor{185b}
50135 @deffn {Attribute} Has_Disconnect_Flag  =  326
50136 @end deffn
50138 @geindex Has_Active_Flag (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
50139 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Has_Active_Flag}@anchor{185c}
50140 @deffn {Attribute} Has_Active_Flag  =  327
50141 @end deffn
50143 @geindex Is_Within_Flag (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
50144 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Is_Within_Flag}@anchor{185d}
50145 @deffn {Attribute} Is_Within_Flag  =  328
50146 @end deffn
50148 @geindex Type_Marks_List (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
50149 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Type_Marks_List}@anchor{185e}
50150 @deffn {Attribute} Type_Marks_List  =  329
50151 @end deffn
50153 @geindex Implicit_Alias_Flag (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
50154 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Implicit_Alias_Flag}@anchor{185f}
50155 @deffn {Attribute} Implicit_Alias_Flag  =  330
50156 @end deffn
50158 @geindex Alias_Signature (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
50159 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Alias_Signature}@anchor{1860}
50160 @deffn {Attribute} Alias_Signature  =  331
50161 @end deffn
50163 @geindex Attribute_Signature (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
50164 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Attribute_Signature}@anchor{1861}
50165 @deffn {Attribute} Attribute_Signature  =  332
50166 @end deffn
50168 @geindex Overload_List (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
50169 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Overload_List}@anchor{1862}
50170 @deffn {Attribute} Overload_List  =  333
50171 @end deffn
50173 @geindex Simple_Name_Identifier (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
50174 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Simple_Name_Identifier}@anchor{1863}
50175 @deffn {Attribute} Simple_Name_Identifier  =  334
50176 @end deffn
50178 @geindex Simple_Name_Subtype (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
50179 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Simple_Name_Subtype}@anchor{1864}
50180 @deffn {Attribute} Simple_Name_Subtype  =  335
50181 @end deffn
50183 @geindex Protected_Type_Body (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
50184 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Protected_Type_Body}@anchor{1865}
50185 @deffn {Attribute} Protected_Type_Body  =  336
50186 @end deffn
50188 @geindex Protected_Type_Declaration (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
50189 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Protected_Type_Declaration}@anchor{1866}
50190 @deffn {Attribute} Protected_Type_Declaration  =  337
50191 @end deffn
50193 @geindex Use_Flag (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
50194 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Use_Flag}@anchor{1867}
50195 @deffn {Attribute} Use_Flag  =  338
50196 @end deffn
50198 @geindex End_Has_Reserved_Id (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
50199 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields End_Has_Reserved_Id}@anchor{1868}
50200 @deffn {Attribute} End_Has_Reserved_Id  =  339
50201 @end deffn
50203 @geindex End_Has_Identifier (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
50204 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields End_Has_Identifier}@anchor{1869}
50205 @deffn {Attribute} End_Has_Identifier  =  340
50206 @end deffn
50208 @geindex End_Has_Postponed (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
50209 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields End_Has_Postponed}@anchor{186a}
50210 @deffn {Attribute} End_Has_Postponed  =  341
50211 @end deffn
50213 @geindex Has_Label (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
50214 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Has_Label}@anchor{186b}
50215 @deffn {Attribute} Has_Label  =  342
50216 @end deffn
50218 @geindex Has_Begin (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
50219 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Has_Begin}@anchor{186c}
50220 @deffn {Attribute} Has_Begin  =  343
50221 @end deffn
50223 @geindex Has_End (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
50224 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Has_End}@anchor{186d}
50225 @deffn {Attribute} Has_End  =  344
50226 @end deffn
50228 @geindex Has_Is (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
50229 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Has_Is}@anchor{186e}
50230 @deffn {Attribute} Has_Is  =  345
50231 @end deffn
50233 @geindex Has_Pure (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
50234 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Has_Pure}@anchor{186f}
50235 @deffn {Attribute} Has_Pure  =  346
50236 @end deffn
50238 @geindex Has_Body (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
50239 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Has_Body}@anchor{1870}
50240 @deffn {Attribute} Has_Body  =  347
50241 @end deffn
50243 @geindex Has_Parameter (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
50244 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Has_Parameter}@anchor{1871}
50245 @deffn {Attribute} Has_Parameter  =  348
50246 @end deffn
50248 @geindex Has_Component (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
50249 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Has_Component}@anchor{1872}
50250 @deffn {Attribute} Has_Component  =  349
50251 @end deffn
50253 @geindex Has_Identifier_List (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
50254 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Has_Identifier_List}@anchor{1873}
50255 @deffn {Attribute} Has_Identifier_List  =  350
50256 @end deffn
50258 @geindex Has_Mode (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
50259 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Has_Mode}@anchor{1874}
50260 @deffn {Attribute} Has_Mode  =  351
50261 @end deffn
50263 @geindex Has_Class (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
50264 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Has_Class}@anchor{1875}
50265 @deffn {Attribute} Has_Class  =  352
50266 @end deffn
50268 @geindex Has_Delay_Mechanism (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
50269 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Has_Delay_Mechanism}@anchor{1876}
50270 @deffn {Attribute} Has_Delay_Mechanism  =  353
50271 @end deffn
50273 @geindex Suspend_Flag (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
50274 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Suspend_Flag}@anchor{1877}
50275 @deffn {Attribute} Suspend_Flag  =  354
50276 @end deffn
50278 @geindex Is_Ref (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
50279 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Is_Ref}@anchor{1878}
50280 @deffn {Attribute} Is_Ref  =  355
50281 @end deffn
50283 @geindex Is_Forward_Ref (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
50284 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Is_Forward_Ref}@anchor{1879}
50285 @deffn {Attribute} Is_Forward_Ref  =  356
50286 @end deffn
50288 @geindex Psl_Property (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
50289 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Psl_Property}@anchor{187a}
50290 @deffn {Attribute} Psl_Property  =  357
50291 @end deffn
50293 @geindex Psl_Sequence (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
50294 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Psl_Sequence}@anchor{187b}
50295 @deffn {Attribute} Psl_Sequence  =  358
50296 @end deffn
50298 @geindex Psl_Declaration (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
50299 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Psl_Declaration}@anchor{187c}
50300 @deffn {Attribute} Psl_Declaration  =  359
50301 @end deffn
50303 @geindex Psl_Expression (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
50304 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Psl_Expression}@anchor{187d}
50305 @deffn {Attribute} Psl_Expression  =  360
50306 @end deffn
50308 @geindex Psl_Boolean (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
50309 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Psl_Boolean}@anchor{187e}
50310 @deffn {Attribute} Psl_Boolean  =  361
50311 @end deffn
50313 @geindex PSL_Clock (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
50314 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields PSL_Clock}@anchor{187f}
50315 @deffn {Attribute} PSL_Clock  =  362
50316 @end deffn
50318 @geindex PSL_NFA (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
50319 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields PSL_NFA}@anchor{1880}
50320 @deffn {Attribute} PSL_NFA  =  363
50321 @end deffn
50323 @geindex PSL_Nbr_States (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
50324 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields PSL_Nbr_States}@anchor{1881}
50325 @deffn {Attribute} PSL_Nbr_States  =  364
50326 @end deffn
50328 @geindex PSL_Clock_Sensitivity (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
50329 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields PSL_Clock_Sensitivity}@anchor{1882}
50330 @deffn {Attribute} PSL_Clock_Sensitivity  =  365
50331 @end deffn
50333 @geindex PSL_EOS_Flag (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
50334 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields PSL_EOS_Flag}@anchor{1883}
50335 @deffn {Attribute} PSL_EOS_Flag  =  366
50336 @end deffn
50338 @geindex PSL_Abort_Flag (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
50339 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields PSL_Abort_Flag}@anchor{1884}
50340 @deffn {Attribute} PSL_Abort_Flag  =  367
50341 @end deffn
50343 @geindex Count_Expression (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
50344 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Count_Expression}@anchor{1885}
50345 @deffn {Attribute} Count_Expression  =  368
50346 @end deffn
50348 @geindex Clock_Expression (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
50349 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Clock_Expression}@anchor{1886}
50350 @deffn {Attribute} Clock_Expression  =  369
50351 @end deffn
50353 @geindex Default_Clock (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
50354 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Default_Clock}@anchor{1887}
50355 @deffn {Attribute} Default_Clock  =  370
50356 @end deffn
50358 @geindex Foreign_Node (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
50359 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Foreign_Node}@anchor{1888}
50360 @deffn {Attribute} Foreign_Node  =  371
50361 @end deffn
50362 @end deffn
50364 @c #-----------------------------------
50366 @strong{Functions}
50368 @geindex get_fields_first() (in module pyGHDL.libghdl.vhdl.nodes_meta)
50369 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta get_fields_first}@anchor{1572}
50370 @deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.get_fields_first (K)
50372 Return the list of fields for node @code{K}.
50374 In Ada @code{Vhdl.Nodes_Meta.Get_Fields} returns a @code{Fields_Array}. To emulate
50375 this array access, the API provides @code{get_fields_first} and @ref{1573,,get_fields_last()}.
50377 The fields are sorted: first the non nodes/list of nodes, then the
50378 nodes/lists that aren’t reference, and then the reference.
50380 @*Parameters: 
50381 @code{K} (TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{IirKind}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})) – Node to get first array index from.
50384 @*Return type: 
50385 int@footnote{https://docs.python.org/3.6/library/functions.html#int}
50387 @end deffn
50389 @geindex get_fields_last() (in module pyGHDL.libghdl.vhdl.nodes_meta)
50390 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta get_fields_last}@anchor{1573}
50391 @deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.get_fields_last (K)
50393 Return the list of fields for node @code{K}.
50395 In Ada @code{Vhdl.Nodes_Meta.Get_Fields} returns a @code{Fields_Array}. To emulate
50396 this array access, the API provides @ref{1572,,get_fields_first()} and @code{get_fields_last}.
50398 The fields are sorted: first the non nodes/list of nodes, then the
50399 nodes/lists that aren’t reference, and then the reference.
50401 @*Parameters: 
50402 @code{K} (TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{IirKind}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})) – Node to get last array index from.
50405 @*Return type: 
50406 int@footnote{https://docs.python.org/3.6/library/functions.html#int}
50408 @end deffn
50410 @geindex get_field_by_index() (in module pyGHDL.libghdl.vhdl.nodes_meta)
50411 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta get_field_by_index}@anchor{1574}
50412 @deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.get_field_by_index (K)
50414 @*Return type: 
50415 int@footnote{https://docs.python.org/3.6/library/functions.html#int}
50417 @end deffn
50419 @geindex get_field_type() (in module pyGHDL.libghdl.vhdl.nodes_meta)
50420 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta get_field_type}@anchor{1575}
50421 @deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.get_field_type (*args)
50422 @end deffn
50424 @geindex get_field_attribute() (in module pyGHDL.libghdl.vhdl.nodes_meta)
50425 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta get_field_attribute}@anchor{1576}
50426 @deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.get_field_attribute (*args)
50427 @end deffn
50429 @geindex Has_First_Design_Unit() (in module pyGHDL.libghdl.vhdl.nodes_meta)
50430 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_First_Design_Unit}@anchor{1577}
50431 @deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_First_Design_Unit (kind)
50433 @*Return type: 
50434 bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
50436 @end deffn
50438 @geindex Has_Last_Design_Unit() (in module pyGHDL.libghdl.vhdl.nodes_meta)
50439 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Last_Design_Unit}@anchor{1578}
50440 @deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Last_Design_Unit (kind)
50442 @*Return type: 
50443 bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
50445 @end deffn
50447 @geindex Has_Library_Declaration() (in module pyGHDL.libghdl.vhdl.nodes_meta)
50448 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Library_Declaration}@anchor{1579}
50449 @deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Library_Declaration (kind)
50451 @*Return type: 
50452 bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
50454 @end deffn
50456 @geindex Has_File_Checksum() (in module pyGHDL.libghdl.vhdl.nodes_meta)
50457 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_File_Checksum}@anchor{157a}
50458 @deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_File_Checksum (kind)
50460 @*Return type: 
50461 bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
50463 @end deffn
50465 @geindex Has_Analysis_Time_Stamp() (in module pyGHDL.libghdl.vhdl.nodes_meta)
50466 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Analysis_Time_Stamp}@anchor{157b}
50467 @deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Analysis_Time_Stamp (kind)
50469 @*Return type: 
50470 bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
50472 @end deffn
50474 @geindex Has_Design_File_Source() (in module pyGHDL.libghdl.vhdl.nodes_meta)
50475 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Design_File_Source}@anchor{157c}
50476 @deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Design_File_Source (kind)
50478 @*Return type: 
50479 bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
50481 @end deffn
50483 @geindex Has_Library() (in module pyGHDL.libghdl.vhdl.nodes_meta)
50484 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Library}@anchor{157d}
50485 @deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Library (kind)
50487 @*Return type: 
50488 bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
50490 @end deffn
50492 @geindex Has_File_Dependence_List() (in module pyGHDL.libghdl.vhdl.nodes_meta)
50493 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_File_Dependence_List}@anchor{157e}
50494 @deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_File_Dependence_List (kind)
50496 @*Return type: 
50497 bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
50499 @end deffn
50501 @geindex Has_Design_File_Filename() (in module pyGHDL.libghdl.vhdl.nodes_meta)
50502 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Design_File_Filename}@anchor{157f}
50503 @deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Design_File_Filename (kind)
50505 @*Return type: 
50506 bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
50508 @end deffn
50510 @geindex Has_Design_File_Directory() (in module pyGHDL.libghdl.vhdl.nodes_meta)
50511 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Design_File_Directory}@anchor{1580}
50512 @deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Design_File_Directory (kind)
50514 @*Return type: 
50515 bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
50517 @end deffn
50519 @geindex Has_Design_File() (in module pyGHDL.libghdl.vhdl.nodes_meta)
50520 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Design_File}@anchor{1581}
50521 @deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Design_File (kind)
50523 @*Return type: 
50524 bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
50526 @end deffn
50528 @geindex Has_Design_File_Chain() (in module pyGHDL.libghdl.vhdl.nodes_meta)
50529 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Design_File_Chain}@anchor{1582}
50530 @deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Design_File_Chain (kind)
50532 @*Return type: 
50533 bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
50535 @end deffn
50537 @geindex Has_Library_Directory() (in module pyGHDL.libghdl.vhdl.nodes_meta)
50538 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Library_Directory}@anchor{1583}
50539 @deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Library_Directory (kind)
50541 @*Return type: 
50542 bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
50544 @end deffn
50546 @geindex Has_Date() (in module pyGHDL.libghdl.vhdl.nodes_meta)
50547 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Date}@anchor{1584}
50548 @deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Date (kind)
50550 @*Return type: 
50551 bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
50553 @end deffn
50555 @geindex Has_Context_Items() (in module pyGHDL.libghdl.vhdl.nodes_meta)
50556 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Context_Items}@anchor{1585}
50557 @deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Context_Items (kind)
50559 @*Return type: 
50560 bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
50562 @end deffn
50564 @geindex Has_Dependence_List() (in module pyGHDL.libghdl.vhdl.nodes_meta)
50565 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Dependence_List}@anchor{1586}
50566 @deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Dependence_List (kind)
50568 @*Return type: 
50569 bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
50571 @end deffn
50573 @geindex Has_Analysis_Checks_List() (in module pyGHDL.libghdl.vhdl.nodes_meta)
50574 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Analysis_Checks_List}@anchor{1587}
50575 @deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Analysis_Checks_List (kind)
50577 @*Return type: 
50578 bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
50580 @end deffn
50582 @geindex Has_Date_State() (in module pyGHDL.libghdl.vhdl.nodes_meta)
50583 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Date_State}@anchor{1588}
50584 @deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Date_State (kind)
50586 @*Return type: 
50587 bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
50589 @end deffn
50591 @geindex Has_Guarded_Target_State() (in module pyGHDL.libghdl.vhdl.nodes_meta)
50592 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Guarded_Target_State}@anchor{1589}
50593 @deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Guarded_Target_State (kind)
50595 @*Return type: 
50596 bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
50598 @end deffn
50600 @geindex Has_Library_Unit() (in module pyGHDL.libghdl.vhdl.nodes_meta)
50601 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Library_Unit}@anchor{158a}
50602 @deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Library_Unit (kind)
50604 @*Return type: 
50605 bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
50607 @end deffn
50609 @geindex Has_Hash_Chain() (in module pyGHDL.libghdl.vhdl.nodes_meta)
50610 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Hash_Chain}@anchor{158b}
50611 @deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Hash_Chain (kind)
50613 @*Return type: 
50614 bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
50616 @end deffn
50618 @geindex Has_Design_Unit_Source_Pos() (in module pyGHDL.libghdl.vhdl.nodes_meta)
50619 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Design_Unit_Source_Pos}@anchor{158c}
50620 @deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Design_Unit_Source_Pos (kind)
50622 @*Return type: 
50623 bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
50625 @end deffn
50627 @geindex Has_Design_Unit_Source_Line() (in module pyGHDL.libghdl.vhdl.nodes_meta)
50628 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Design_Unit_Source_Line}@anchor{158d}
50629 @deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Design_Unit_Source_Line (kind)
50631 @*Return type: 
50632 bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
50634 @end deffn
50636 @geindex Has_Design_Unit_Source_Col() (in module pyGHDL.libghdl.vhdl.nodes_meta)
50637 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Design_Unit_Source_Col}@anchor{158e}
50638 @deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Design_Unit_Source_Col (kind)
50640 @*Return type: 
50641 bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
50643 @end deffn
50645 @geindex Has_Value() (in module pyGHDL.libghdl.vhdl.nodes_meta)
50646 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Value}@anchor{158f}
50647 @deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Value (kind)
50649 @*Return type: 
50650 bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
50652 @end deffn
50654 @geindex Has_Enum_Pos() (in module pyGHDL.libghdl.vhdl.nodes_meta)
50655 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Enum_Pos}@anchor{1590}
50656 @deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Enum_Pos (kind)
50658 @*Return type: 
50659 bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
50661 @end deffn
50663 @geindex Has_Physical_Literal() (in module pyGHDL.libghdl.vhdl.nodes_meta)
50664 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Physical_Literal}@anchor{1591}
50665 @deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Physical_Literal (kind)
50667 @*Return type: 
50668 bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
50670 @end deffn
50672 @geindex Has_Fp_Value() (in module pyGHDL.libghdl.vhdl.nodes_meta)
50673 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Fp_Value}@anchor{1592}
50674 @deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Fp_Value (kind)
50676 @*Return type: 
50677 bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
50679 @end deffn
50681 @geindex Has_Simple_Aggregate_List() (in module pyGHDL.libghdl.vhdl.nodes_meta)
50682 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Simple_Aggregate_List}@anchor{1593}
50683 @deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Simple_Aggregate_List (kind)
50685 @*Return type: 
50686 bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
50688 @end deffn
50690 @geindex Has_String8_Id() (in module pyGHDL.libghdl.vhdl.nodes_meta)
50691 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_String8_Id}@anchor{1594}
50692 @deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_String8_Id (kind)
50694 @*Return type: 
50695 bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
50697 @end deffn
50699 @geindex Has_String_Length() (in module pyGHDL.libghdl.vhdl.nodes_meta)
50700 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_String_Length}@anchor{1595}
50701 @deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_String_Length (kind)
50703 @*Return type: 
50704 bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
50706 @end deffn
50708 @geindex Has_Bit_String_Base() (in module pyGHDL.libghdl.vhdl.nodes_meta)
50709 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Bit_String_Base}@anchor{1596}
50710 @deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Bit_String_Base (kind)
50712 @*Return type: 
50713 bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
50715 @end deffn
50717 @geindex Has_Has_Signed() (in module pyGHDL.libghdl.vhdl.nodes_meta)
50718 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Has_Signed}@anchor{1597}
50719 @deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Has_Signed (kind)
50721 @*Return type: 
50722 bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
50724 @end deffn
50726 @geindex Has_Has_Sign() (in module pyGHDL.libghdl.vhdl.nodes_meta)
50727 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Has_Sign}@anchor{1598}
50728 @deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Has_Sign (kind)
50730 @*Return type: 
50731 bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
50733 @end deffn
50735 @geindex Has_Has_Length() (in module pyGHDL.libghdl.vhdl.nodes_meta)
50736 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Has_Length}@anchor{1599}
50737 @deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Has_Length (kind)
50739 @*Return type: 
50740 bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
50742 @end deffn
50744 @geindex Has_Literal_Length() (in module pyGHDL.libghdl.vhdl.nodes_meta)
50745 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Literal_Length}@anchor{159a}
50746 @deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Literal_Length (kind)
50748 @*Return type: 
50749 bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
50751 @end deffn
50753 @geindex Has_Literal_Origin() (in module pyGHDL.libghdl.vhdl.nodes_meta)
50754 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Literal_Origin}@anchor{159b}
50755 @deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Literal_Origin (kind)
50757 @*Return type: 
50758 bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
50760 @end deffn
50762 @geindex Has_Range_Origin() (in module pyGHDL.libghdl.vhdl.nodes_meta)
50763 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Range_Origin}@anchor{159c}
50764 @deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Range_Origin (kind)
50766 @*Return type: 
50767 bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
50769 @end deffn
50771 @geindex Has_Literal_Subtype() (in module pyGHDL.libghdl.vhdl.nodes_meta)
50772 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Literal_Subtype}@anchor{159d}
50773 @deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Literal_Subtype (kind)
50775 @*Return type: 
50776 bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
50778 @end deffn
50780 @geindex Has_Allocator_Subtype() (in module pyGHDL.libghdl.vhdl.nodes_meta)
50781 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Allocator_Subtype}@anchor{159e}
50782 @deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Allocator_Subtype (kind)
50784 @*Return type: 
50785 bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
50787 @end deffn
50789 @geindex Has_Entity_Class() (in module pyGHDL.libghdl.vhdl.nodes_meta)
50790 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Entity_Class}@anchor{159f}
50791 @deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Entity_Class (kind)
50793 @*Return type: 
50794 bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
50796 @end deffn
50798 @geindex Has_Entity_Name_List() (in module pyGHDL.libghdl.vhdl.nodes_meta)
50799 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Entity_Name_List}@anchor{15a0}
50800 @deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Entity_Name_List (kind)
50802 @*Return type: 
50803 bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
50805 @end deffn
50807 @geindex Has_Attribute_Designator() (in module pyGHDL.libghdl.vhdl.nodes_meta)
50808 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Attribute_Designator}@anchor{15a1}
50809 @deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Attribute_Designator (kind)
50811 @*Return type: 
50812 bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
50814 @end deffn
50816 @geindex Has_Attribute_Specification_Chain() (in module pyGHDL.libghdl.vhdl.nodes_meta)
50817 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Attribute_Specification_Chain}@anchor{15a2}
50818 @deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Attribute_Specification_Chain (kind)
50820 @*Return type: 
50821 bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
50823 @end deffn
50825 @geindex Has_Attribute_Specification() (in module pyGHDL.libghdl.vhdl.nodes_meta)
50826 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Attribute_Specification}@anchor{15a3}
50827 @deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Attribute_Specification (kind)
50829 @*Return type: 
50830 bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
50832 @end deffn
50834 @geindex Has_Static_Attribute_Flag() (in module pyGHDL.libghdl.vhdl.nodes_meta)
50835 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Static_Attribute_Flag}@anchor{15a4}
50836 @deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Static_Attribute_Flag (kind)
50838 @*Return type: 
50839 bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
50841 @end deffn
50843 @geindex Has_Signal_List() (in module pyGHDL.libghdl.vhdl.nodes_meta)
50844 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Signal_List}@anchor{15a5}
50845 @deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Signal_List (kind)
50847 @*Return type: 
50848 bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
50850 @end deffn
50852 @geindex Has_Quantity_List() (in module pyGHDL.libghdl.vhdl.nodes_meta)
50853 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Quantity_List}@anchor{15a6}
50854 @deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Quantity_List (kind)
50856 @*Return type: 
50857 bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
50859 @end deffn
50861 @geindex Has_Designated_Entity() (in module pyGHDL.libghdl.vhdl.nodes_meta)
50862 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Designated_Entity}@anchor{15a7}
50863 @deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Designated_Entity (kind)
50865 @*Return type: 
50866 bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
50868 @end deffn
50870 @geindex Has_Formal() (in module pyGHDL.libghdl.vhdl.nodes_meta)
50871 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Formal}@anchor{15a8}
50872 @deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Formal (kind)
50874 @*Return type: 
50875 bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
50877 @end deffn
50879 @geindex Has_Actual() (in module pyGHDL.libghdl.vhdl.nodes_meta)
50880 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Actual}@anchor{15a9}
50881 @deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Actual (kind)
50883 @*Return type: 
50884 bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
50886 @end deffn
50888 @geindex Has_Actual_Conversion() (in module pyGHDL.libghdl.vhdl.nodes_meta)
50889 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Actual_Conversion}@anchor{15aa}
50890 @deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Actual_Conversion (kind)
50892 @*Return type: 
50893 bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
50895 @end deffn
50897 @geindex Has_Formal_Conversion() (in module pyGHDL.libghdl.vhdl.nodes_meta)
50898 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Formal_Conversion}@anchor{15ab}
50899 @deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Formal_Conversion (kind)
50901 @*Return type: 
50902 bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
50904 @end deffn
50906 @geindex Has_Whole_Association_Flag() (in module pyGHDL.libghdl.vhdl.nodes_meta)
50907 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Whole_Association_Flag}@anchor{15ac}
50908 @deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Whole_Association_Flag (kind)
50910 @*Return type: 
50911 bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
50913 @end deffn
50915 @geindex Has_Collapse_Signal_Flag() (in module pyGHDL.libghdl.vhdl.nodes_meta)
50916 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Collapse_Signal_Flag}@anchor{15ad}
50917 @deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Collapse_Signal_Flag (kind)
50919 @*Return type: 
50920 bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
50922 @end deffn
50924 @geindex Has_Artificial_Flag() (in module pyGHDL.libghdl.vhdl.nodes_meta)
50925 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Artificial_Flag}@anchor{15ae}
50926 @deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Artificial_Flag (kind)
50928 @*Return type: 
50929 bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
50931 @end deffn
50933 @geindex Has_Open_Flag() (in module pyGHDL.libghdl.vhdl.nodes_meta)
50934 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Open_Flag}@anchor{15af}
50935 @deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Open_Flag (kind)
50937 @*Return type: 
50938 bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
50940 @end deffn
50942 @geindex Has_After_Drivers_Flag() (in module pyGHDL.libghdl.vhdl.nodes_meta)
50943 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_After_Drivers_Flag}@anchor{15b0}
50944 @deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_After_Drivers_Flag (kind)
50946 @*Return type: 
50947 bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
50949 @end deffn
50951 @geindex Has_We_Value() (in module pyGHDL.libghdl.vhdl.nodes_meta)
50952 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_We_Value}@anchor{15b1}
50953 @deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_We_Value (kind)
50955 @*Return type: 
50956 bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
50958 @end deffn
50960 @geindex Has_Time() (in module pyGHDL.libghdl.vhdl.nodes_meta)
50961 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Time}@anchor{15b2}
50962 @deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Time (kind)
50964 @*Return type: 
50965 bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
50967 @end deffn
50969 @geindex Has_Associated_Expr() (in module pyGHDL.libghdl.vhdl.nodes_meta)
50970 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Associated_Expr}@anchor{15b3}
50971 @deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Associated_Expr (kind)
50973 @*Return type: 
50974 bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
50976 @end deffn
50978 @geindex Has_Associated_Block() (in module pyGHDL.libghdl.vhdl.nodes_meta)
50979 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Associated_Block}@anchor{15b4}
50980 @deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Associated_Block (kind)
50982 @*Return type: 
50983 bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
50985 @end deffn
50987 @geindex Has_Associated_Chain() (in module pyGHDL.libghdl.vhdl.nodes_meta)
50988 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Associated_Chain}@anchor{15b5}
50989 @deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Associated_Chain (kind)
50991 @*Return type: 
50992 bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
50994 @end deffn
50996 @geindex Has_Choice_Name() (in module pyGHDL.libghdl.vhdl.nodes_meta)
50997 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Choice_Name}@anchor{15b6}
50998 @deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Choice_Name (kind)
51000 @*Return type: 
51001 bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
51003 @end deffn
51005 @geindex Has_Choice_Expression() (in module pyGHDL.libghdl.vhdl.nodes_meta)
51006 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Choice_Expression}@anchor{15b7}
51007 @deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Choice_Expression (kind)
51009 @*Return type: 
51010 bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
51012 @end deffn
51014 @geindex Has_Choice_Range() (in module pyGHDL.libghdl.vhdl.nodes_meta)
51015 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Choice_Range}@anchor{15b8}
51016 @deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Choice_Range (kind)
51018 @*Return type: 
51019 bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
51021 @end deffn
51023 @geindex Has_Same_Alternative_Flag() (in module pyGHDL.libghdl.vhdl.nodes_meta)
51024 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Same_Alternative_Flag}@anchor{15b9}
51025 @deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Same_Alternative_Flag (kind)
51027 @*Return type: 
51028 bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
51030 @end deffn
51032 @geindex Has_Element_Type_Flag() (in module pyGHDL.libghdl.vhdl.nodes_meta)
51033 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Element_Type_Flag}@anchor{15ba}
51034 @deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Element_Type_Flag (kind)
51036 @*Return type: 
51037 bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
51039 @end deffn
51041 @geindex Has_Architecture() (in module pyGHDL.libghdl.vhdl.nodes_meta)
51042 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Architecture}@anchor{15bb}
51043 @deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Architecture (kind)
51045 @*Return type: 
51046 bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
51048 @end deffn
51050 @geindex Has_Block_Specification() (in module pyGHDL.libghdl.vhdl.nodes_meta)
51051 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Block_Specification}@anchor{15bc}
51052 @deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Block_Specification (kind)
51054 @*Return type: 
51055 bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
51057 @end deffn
51059 @geindex Has_Prev_Block_Configuration() (in module pyGHDL.libghdl.vhdl.nodes_meta)
51060 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Prev_Block_Configuration}@anchor{15bd}
51061 @deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Prev_Block_Configuration (kind)
51063 @*Return type: 
51064 bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
51066 @end deffn
51068 @geindex Has_Configuration_Item_Chain() (in module pyGHDL.libghdl.vhdl.nodes_meta)
51069 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Configuration_Item_Chain}@anchor{15be}
51070 @deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Configuration_Item_Chain (kind)
51072 @*Return type: 
51073 bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
51075 @end deffn
51077 @geindex Has_Attribute_Value_Chain() (in module pyGHDL.libghdl.vhdl.nodes_meta)
51078 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Attribute_Value_Chain}@anchor{15bf}
51079 @deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Attribute_Value_Chain (kind)
51081 @*Return type: 
51082 bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
51084 @end deffn
51086 @geindex Has_Spec_Chain() (in module pyGHDL.libghdl.vhdl.nodes_meta)
51087 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Spec_Chain}@anchor{15c0}
51088 @deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Spec_Chain (kind)
51090 @*Return type: 
51091 bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
51093 @end deffn
51095 @geindex Has_Value_Chain() (in module pyGHDL.libghdl.vhdl.nodes_meta)
51096 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Value_Chain}@anchor{15c1}
51097 @deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Value_Chain (kind)
51099 @*Return type: 
51100 bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
51102 @end deffn
51104 @geindex Has_Attribute_Value_Spec_Chain() (in module pyGHDL.libghdl.vhdl.nodes_meta)
51105 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Attribute_Value_Spec_Chain}@anchor{15c2}
51106 @deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Attribute_Value_Spec_Chain (kind)
51108 @*Return type: 
51109 bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
51111 @end deffn
51113 @geindex Has_Entity_Name() (in module pyGHDL.libghdl.vhdl.nodes_meta)
51114 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Entity_Name}@anchor{15c3}
51115 @deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Entity_Name (kind)
51117 @*Return type: 
51118 bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
51120 @end deffn
51122 @geindex Has_Package() (in module pyGHDL.libghdl.vhdl.nodes_meta)
51123 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Package}@anchor{15c4}
51124 @deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Package (kind)
51126 @*Return type: 
51127 bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
51129 @end deffn
51131 @geindex Has_Package_Body() (in module pyGHDL.libghdl.vhdl.nodes_meta)
51132 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Package_Body}@anchor{15c5}
51133 @deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Package_Body (kind)
51135 @*Return type: 
51136 bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
51138 @end deffn
51140 @geindex Has_Instance_Package_Body() (in module pyGHDL.libghdl.vhdl.nodes_meta)
51141 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Instance_Package_Body}@anchor{15c6}
51142 @deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Instance_Package_Body (kind)
51144 @*Return type: 
51145 bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
51147 @end deffn
51149 @geindex Has_Need_Body() (in module pyGHDL.libghdl.vhdl.nodes_meta)
51150 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Need_Body}@anchor{15c7}
51151 @deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Need_Body (kind)
51153 @*Return type: 
51154 bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
51156 @end deffn
51158 @geindex Has_Macro_Expanded_Flag() (in module pyGHDL.libghdl.vhdl.nodes_meta)
51159 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Macro_Expanded_Flag}@anchor{15c8}
51160 @deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Macro_Expanded_Flag (kind)
51162 @*Return type: 
51163 bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
51165 @end deffn
51167 @geindex Has_Need_Instance_Bodies() (in module pyGHDL.libghdl.vhdl.nodes_meta)
51168 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Need_Instance_Bodies}@anchor{15c9}
51169 @deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Need_Instance_Bodies (kind)
51171 @*Return type: 
51172 bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
51174 @end deffn
51176 @geindex Has_Hierarchical_Name() (in module pyGHDL.libghdl.vhdl.nodes_meta)
51177 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Hierarchical_Name}@anchor{15ca}
51178 @deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Hierarchical_Name (kind)
51180 @*Return type: 
51181 bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
51183 @end deffn
51185 @geindex Has_Vunit_Item_Chain() (in module pyGHDL.libghdl.vhdl.nodes_meta)
51186 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Vunit_Item_Chain}@anchor{15cb}
51187 @deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Vunit_Item_Chain (kind)
51189 @*Return type: 
51190 bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
51192 @end deffn
51194 @geindex Has_Bound_Vunit_Chain() (in module pyGHDL.libghdl.vhdl.nodes_meta)
51195 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Bound_Vunit_Chain}@anchor{15cc}
51196 @deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Bound_Vunit_Chain (kind)
51198 @*Return type: 
51199 bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
51201 @end deffn
51203 @geindex Has_Verification_Block_Configuration() (in module pyGHDL.libghdl.vhdl.nodes_meta)
51204 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Verification_Block_Configuration}@anchor{15cd}
51205 @deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Verification_Block_Configuration (kind)
51207 @*Return type: 
51208 bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
51210 @end deffn
51212 @geindex Has_Block_Configuration() (in module pyGHDL.libghdl.vhdl.nodes_meta)
51213 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Block_Configuration}@anchor{15ce}
51214 @deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Block_Configuration (kind)
51216 @*Return type: 
51217 bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
51219 @end deffn
51221 @geindex Has_Concurrent_Statement_Chain() (in module pyGHDL.libghdl.vhdl.nodes_meta)
51222 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Concurrent_Statement_Chain}@anchor{15cf}
51223 @deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Concurrent_Statement_Chain (kind)
51225 @*Return type: 
51226 bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
51228 @end deffn
51230 @geindex Has_Chain() (in module pyGHDL.libghdl.vhdl.nodes_meta)
51231 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Chain}@anchor{15d0}
51232 @deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Chain (kind)
51234 @*Return type: 
51235 bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
51237 @end deffn
51239 @geindex Has_Port_Chain() (in module pyGHDL.libghdl.vhdl.nodes_meta)
51240 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Port_Chain}@anchor{15d1}
51241 @deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Port_Chain (kind)
51243 @*Return type: 
51244 bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
51246 @end deffn
51248 @geindex Has_Generic_Chain() (in module pyGHDL.libghdl.vhdl.nodes_meta)
51249 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Generic_Chain}@anchor{15d2}
51250 @deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Generic_Chain (kind)
51252 @*Return type: 
51253 bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
51255 @end deffn
51257 @geindex Has_Type() (in module pyGHDL.libghdl.vhdl.nodes_meta)
51258 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Type}@anchor{15d3}
51259 @deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Type (kind)
51261 @*Return type: 
51262 bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
51264 @end deffn
51266 @geindex Has_Subtype_Indication() (in module pyGHDL.libghdl.vhdl.nodes_meta)
51267 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Subtype_Indication}@anchor{15d4}
51268 @deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Subtype_Indication (kind)
51270 @*Return type: 
51271 bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
51273 @end deffn
51275 @geindex Has_Discrete_Range() (in module pyGHDL.libghdl.vhdl.nodes_meta)
51276 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Discrete_Range}@anchor{15d5}
51277 @deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Discrete_Range (kind)
51279 @*Return type: 
51280 bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
51282 @end deffn
51284 @geindex Has_Type_Definition() (in module pyGHDL.libghdl.vhdl.nodes_meta)
51285 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Type_Definition}@anchor{15d6}
51286 @deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Type_Definition (kind)
51288 @*Return type: 
51289 bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
51291 @end deffn
51293 @geindex Has_Subtype_Definition() (in module pyGHDL.libghdl.vhdl.nodes_meta)
51294 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Subtype_Definition}@anchor{15d7}
51295 @deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Subtype_Definition (kind)
51297 @*Return type: 
51298 bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
51300 @end deffn
51302 @geindex Has_Incomplete_Type_Declaration() (in module pyGHDL.libghdl.vhdl.nodes_meta)
51303 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Incomplete_Type_Declaration}@anchor{15d8}
51304 @deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Incomplete_Type_Declaration (kind)
51306 @*Return type: 
51307 bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
51309 @end deffn
51311 @geindex Has_Interface_Type_Subprograms() (in module pyGHDL.libghdl.vhdl.nodes_meta)
51312 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Interface_Type_Subprograms}@anchor{15d9}
51313 @deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Interface_Type_Subprograms (kind)
51315 @*Return type: 
51316 bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
51318 @end deffn
51320 @geindex Has_Nature_Definition() (in module pyGHDL.libghdl.vhdl.nodes_meta)
51321 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Nature_Definition}@anchor{15da}
51322 @deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Nature_Definition (kind)
51324 @*Return type: 
51325 bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
51327 @end deffn
51329 @geindex Has_Nature() (in module pyGHDL.libghdl.vhdl.nodes_meta)
51330 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Nature}@anchor{15db}
51331 @deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Nature (kind)
51333 @*Return type: 
51334 bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
51336 @end deffn
51338 @geindex Has_Subnature_Indication() (in module pyGHDL.libghdl.vhdl.nodes_meta)
51339 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Subnature_Indication}@anchor{15dc}
51340 @deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Subnature_Indication (kind)
51342 @*Return type: 
51343 bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
51345 @end deffn
51347 @geindex Has_Mode() (in module pyGHDL.libghdl.vhdl.nodes_meta)
51348 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Mode}@anchor{15dd}
51349 @deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Mode (kind)
51351 @*Return type: 
51352 bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
51354 @end deffn
51356 @geindex Has_Guarded_Signal_Flag() (in module pyGHDL.libghdl.vhdl.nodes_meta)
51357 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Guarded_Signal_Flag}@anchor{15de}
51358 @deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Guarded_Signal_Flag (kind)
51360 @*Return type: 
51361 bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
51363 @end deffn
51365 @geindex Has_Signal_Kind() (in module pyGHDL.libghdl.vhdl.nodes_meta)
51366 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Signal_Kind}@anchor{15df}
51367 @deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Signal_Kind (kind)
51369 @*Return type: 
51370 bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
51372 @end deffn
51374 @geindex Has_Base_Name() (in module pyGHDL.libghdl.vhdl.nodes_meta)
51375 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Base_Name}@anchor{15e0}
51376 @deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Base_Name (kind)
51378 @*Return type: 
51379 bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
51381 @end deffn
51383 @geindex Has_Interface_Declaration_Chain() (in module pyGHDL.libghdl.vhdl.nodes_meta)
51384 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Interface_Declaration_Chain}@anchor{15e1}
51385 @deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Interface_Declaration_Chain (kind)
51387 @*Return type: 
51388 bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
51390 @end deffn
51392 @geindex Has_Subprogram_Specification() (in module pyGHDL.libghdl.vhdl.nodes_meta)
51393 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Subprogram_Specification}@anchor{15e2}
51394 @deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Subprogram_Specification (kind)
51396 @*Return type: 
51397 bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
51399 @end deffn
51401 @geindex Has_Sequential_Statement_Chain() (in module pyGHDL.libghdl.vhdl.nodes_meta)
51402 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Sequential_Statement_Chain}@anchor{15e3}
51403 @deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Sequential_Statement_Chain (kind)
51405 @*Return type: 
51406 bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
51408 @end deffn
51410 @geindex Has_Simultaneous_Statement_Chain() (in module pyGHDL.libghdl.vhdl.nodes_meta)
51411 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Simultaneous_Statement_Chain}@anchor{15e4}
51412 @deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Simultaneous_Statement_Chain (kind)
51414 @*Return type: 
51415 bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
51417 @end deffn
51419 @geindex Has_Subprogram_Body() (in module pyGHDL.libghdl.vhdl.nodes_meta)
51420 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Subprogram_Body}@anchor{15e5}
51421 @deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Subprogram_Body (kind)
51423 @*Return type: 
51424 bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
51426 @end deffn
51428 @geindex Has_Overload_Number() (in module pyGHDL.libghdl.vhdl.nodes_meta)
51429 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Overload_Number}@anchor{15e6}
51430 @deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Overload_Number (kind)
51432 @*Return type: 
51433 bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
51435 @end deffn
51437 @geindex Has_Subprogram_Depth() (in module pyGHDL.libghdl.vhdl.nodes_meta)
51438 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Subprogram_Depth}@anchor{15e7}
51439 @deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Subprogram_Depth (kind)
51441 @*Return type: 
51442 bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
51444 @end deffn
51446 @geindex Has_Subprogram_Hash() (in module pyGHDL.libghdl.vhdl.nodes_meta)
51447 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Subprogram_Hash}@anchor{15e8}
51448 @deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Subprogram_Hash (kind)
51450 @*Return type: 
51451 bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
51453 @end deffn
51455 @geindex Has_Impure_Depth() (in module pyGHDL.libghdl.vhdl.nodes_meta)
51456 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Impure_Depth}@anchor{15e9}
51457 @deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Impure_Depth (kind)
51459 @*Return type: 
51460 bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
51462 @end deffn
51464 @geindex Has_Return_Type() (in module pyGHDL.libghdl.vhdl.nodes_meta)
51465 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Return_Type}@anchor{15ea}
51466 @deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Return_Type (kind)
51468 @*Return type: 
51469 bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
51471 @end deffn
51473 @geindex Has_Implicit_Definition() (in module pyGHDL.libghdl.vhdl.nodes_meta)
51474 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Implicit_Definition}@anchor{15eb}
51475 @deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Implicit_Definition (kind)
51477 @*Return type: 
51478 bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
51480 @end deffn
51482 @geindex Has_Uninstantiated_Subprogram_Name() (in module pyGHDL.libghdl.vhdl.nodes_meta)
51483 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Uninstantiated_Subprogram_Name}@anchor{15ec}
51484 @deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Uninstantiated_Subprogram_Name (kind)
51486 @*Return type: 
51487 bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
51489 @end deffn
51491 @geindex Has_Default_Value() (in module pyGHDL.libghdl.vhdl.nodes_meta)
51492 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Default_Value}@anchor{15ed}
51493 @deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Default_Value (kind)
51495 @*Return type: 
51496 bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
51498 @end deffn
51500 @geindex Has_Deferred_Declaration() (in module pyGHDL.libghdl.vhdl.nodes_meta)
51501 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Deferred_Declaration}@anchor{15ee}
51502 @deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Deferred_Declaration (kind)
51504 @*Return type: 
51505 bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
51507 @end deffn
51509 @geindex Has_Deferred_Declaration_Flag() (in module pyGHDL.libghdl.vhdl.nodes_meta)
51510 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Deferred_Declaration_Flag}@anchor{15ef}
51511 @deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Deferred_Declaration_Flag (kind)
51513 @*Return type: 
51514 bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
51516 @end deffn
51518 @geindex Has_Shared_Flag() (in module pyGHDL.libghdl.vhdl.nodes_meta)
51519 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Shared_Flag}@anchor{15f0}
51520 @deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Shared_Flag (kind)
51522 @*Return type: 
51523 bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
51525 @end deffn
51527 @geindex Has_Design_Unit() (in module pyGHDL.libghdl.vhdl.nodes_meta)
51528 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Design_Unit}@anchor{15f1}
51529 @deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Design_Unit (kind)
51531 @*Return type: 
51532 bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
51534 @end deffn
51536 @geindex Has_Block_Statement() (in module pyGHDL.libghdl.vhdl.nodes_meta)
51537 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Block_Statement}@anchor{15f2}
51538 @deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Block_Statement (kind)
51540 @*Return type: 
51541 bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
51543 @end deffn
51545 @geindex Has_Signal_Driver() (in module pyGHDL.libghdl.vhdl.nodes_meta)
51546 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Signal_Driver}@anchor{15f3}
51547 @deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Signal_Driver (kind)
51549 @*Return type: 
51550 bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
51552 @end deffn
51554 @geindex Has_Declaration_Chain() (in module pyGHDL.libghdl.vhdl.nodes_meta)
51555 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Declaration_Chain}@anchor{15f4}
51556 @deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Declaration_Chain (kind)
51558 @*Return type: 
51559 bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
51561 @end deffn
51563 @geindex Has_File_Logical_Name() (in module pyGHDL.libghdl.vhdl.nodes_meta)
51564 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_File_Logical_Name}@anchor{15f5}
51565 @deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_File_Logical_Name (kind)
51567 @*Return type: 
51568 bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
51570 @end deffn
51572 @geindex Has_File_Open_Kind() (in module pyGHDL.libghdl.vhdl.nodes_meta)
51573 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_File_Open_Kind}@anchor{15f6}
51574 @deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_File_Open_Kind (kind)
51576 @*Return type: 
51577 bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
51579 @end deffn
51581 @geindex Has_Element_Position() (in module pyGHDL.libghdl.vhdl.nodes_meta)
51582 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Element_Position}@anchor{15f7}
51583 @deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Element_Position (kind)
51585 @*Return type: 
51586 bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
51588 @end deffn
51590 @geindex Has_Use_Clause_Chain() (in module pyGHDL.libghdl.vhdl.nodes_meta)
51591 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Use_Clause_Chain}@anchor{15f8}
51592 @deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Use_Clause_Chain (kind)
51594 @*Return type: 
51595 bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
51597 @end deffn
51599 @geindex Has_Context_Reference_Chain() (in module pyGHDL.libghdl.vhdl.nodes_meta)
51600 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Context_Reference_Chain}@anchor{15f9}
51601 @deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Context_Reference_Chain (kind)
51603 @*Return type: 
51604 bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
51606 @end deffn
51608 @geindex Has_Inherit_Spec_Chain() (in module pyGHDL.libghdl.vhdl.nodes_meta)
51609 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Inherit_Spec_Chain}@anchor{15fa}
51610 @deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Inherit_Spec_Chain (kind)
51612 @*Return type: 
51613 bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
51615 @end deffn
51617 @geindex Has_Selected_Name() (in module pyGHDL.libghdl.vhdl.nodes_meta)
51618 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Selected_Name}@anchor{15fb}
51619 @deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Selected_Name (kind)
51621 @*Return type: 
51622 bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
51624 @end deffn
51626 @geindex Has_Type_Declarator() (in module pyGHDL.libghdl.vhdl.nodes_meta)
51627 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Type_Declarator}@anchor{15fc}
51628 @deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Type_Declarator (kind)
51630 @*Return type: 
51631 bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
51633 @end deffn
51635 @geindex Has_Complete_Type_Definition() (in module pyGHDL.libghdl.vhdl.nodes_meta)
51636 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Complete_Type_Definition}@anchor{15fd}
51637 @deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Complete_Type_Definition (kind)
51639 @*Return type: 
51640 bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
51642 @end deffn
51644 @geindex Has_Incomplete_Type_Ref_Chain() (in module pyGHDL.libghdl.vhdl.nodes_meta)
51645 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Incomplete_Type_Ref_Chain}@anchor{15fe}
51646 @deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Incomplete_Type_Ref_Chain (kind)
51648 @*Return type: 
51649 bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
51651 @end deffn
51653 @geindex Has_Associated_Type() (in module pyGHDL.libghdl.vhdl.nodes_meta)
51654 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Associated_Type}@anchor{15ff}
51655 @deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Associated_Type (kind)
51657 @*Return type: 
51658 bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
51660 @end deffn
51662 @geindex Has_Enumeration_Literal_List() (in module pyGHDL.libghdl.vhdl.nodes_meta)
51663 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Enumeration_Literal_List}@anchor{1600}
51664 @deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Enumeration_Literal_List (kind)
51666 @*Return type: 
51667 bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
51669 @end deffn
51671 @geindex Has_Entity_Class_Entry_Chain() (in module pyGHDL.libghdl.vhdl.nodes_meta)
51672 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Entity_Class_Entry_Chain}@anchor{1601}
51673 @deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Entity_Class_Entry_Chain (kind)
51675 @*Return type: 
51676 bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
51678 @end deffn
51680 @geindex Has_Group_Constituent_List() (in module pyGHDL.libghdl.vhdl.nodes_meta)
51681 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Group_Constituent_List}@anchor{1602}
51682 @deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Group_Constituent_List (kind)
51684 @*Return type: 
51685 bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
51687 @end deffn
51689 @geindex Has_Unit_Chain() (in module pyGHDL.libghdl.vhdl.nodes_meta)
51690 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Unit_Chain}@anchor{1603}
51691 @deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Unit_Chain (kind)
51693 @*Return type: 
51694 bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
51696 @end deffn
51698 @geindex Has_Primary_Unit() (in module pyGHDL.libghdl.vhdl.nodes_meta)
51699 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Primary_Unit}@anchor{1604}
51700 @deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Primary_Unit (kind)
51702 @*Return type: 
51703 bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
51705 @end deffn
51707 @geindex Has_Identifier() (in module pyGHDL.libghdl.vhdl.nodes_meta)
51708 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Identifier}@anchor{1605}
51709 @deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Identifier (kind)
51711 @*Return type: 
51712 bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
51714 @end deffn
51716 @geindex Has_Label() (in module pyGHDL.libghdl.vhdl.nodes_meta)
51717 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Label}@anchor{1606}
51718 @deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Label (kind)
51720 @*Return type: 
51721 bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
51723 @end deffn
51725 @geindex Has_Visible_Flag() (in module pyGHDL.libghdl.vhdl.nodes_meta)
51726 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Visible_Flag}@anchor{1607}
51727 @deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Visible_Flag (kind)
51729 @*Return type: 
51730 bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
51732 @end deffn
51734 @geindex Has_Range_Constraint() (in module pyGHDL.libghdl.vhdl.nodes_meta)
51735 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Range_Constraint}@anchor{1608}
51736 @deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Range_Constraint (kind)
51738 @*Return type: 
51739 bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
51741 @end deffn
51743 @geindex Has_Direction() (in module pyGHDL.libghdl.vhdl.nodes_meta)
51744 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Direction}@anchor{1609}
51745 @deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Direction (kind)
51747 @*Return type: 
51748 bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
51750 @end deffn
51752 @geindex Has_Left_Limit() (in module pyGHDL.libghdl.vhdl.nodes_meta)
51753 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Left_Limit}@anchor{160a}
51754 @deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Left_Limit (kind)
51756 @*Return type: 
51757 bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
51759 @end deffn
51761 @geindex Has_Right_Limit() (in module pyGHDL.libghdl.vhdl.nodes_meta)
51762 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Right_Limit}@anchor{160b}
51763 @deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Right_Limit (kind)
51765 @*Return type: 
51766 bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
51768 @end deffn
51770 @geindex Has_Left_Limit_Expr() (in module pyGHDL.libghdl.vhdl.nodes_meta)
51771 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Left_Limit_Expr}@anchor{160c}
51772 @deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Left_Limit_Expr (kind)
51774 @*Return type: 
51775 bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
51777 @end deffn
51779 @geindex Has_Right_Limit_Expr() (in module pyGHDL.libghdl.vhdl.nodes_meta)
51780 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Right_Limit_Expr}@anchor{160d}
51781 @deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Right_Limit_Expr (kind)
51783 @*Return type: 
51784 bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
51786 @end deffn
51788 @geindex Has_Parent_Type() (in module pyGHDL.libghdl.vhdl.nodes_meta)
51789 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Parent_Type}@anchor{160e}
51790 @deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Parent_Type (kind)
51792 @*Return type: 
51793 bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
51795 @end deffn
51797 @geindex Has_Simple_Nature() (in module pyGHDL.libghdl.vhdl.nodes_meta)
51798 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Simple_Nature}@anchor{160f}
51799 @deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Simple_Nature (kind)
51801 @*Return type: 
51802 bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
51804 @end deffn
51806 @geindex Has_Base_Nature() (in module pyGHDL.libghdl.vhdl.nodes_meta)
51807 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Base_Nature}@anchor{1610}
51808 @deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Base_Nature (kind)
51810 @*Return type: 
51811 bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
51813 @end deffn
51815 @geindex Has_Resolution_Indication() (in module pyGHDL.libghdl.vhdl.nodes_meta)
51816 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Resolution_Indication}@anchor{1611}
51817 @deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Resolution_Indication (kind)
51819 @*Return type: 
51820 bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
51822 @end deffn
51824 @geindex Has_Record_Element_Resolution_Chain() (in module pyGHDL.libghdl.vhdl.nodes_meta)
51825 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Record_Element_Resolution_Chain}@anchor{1612}
51826 @deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Record_Element_Resolution_Chain (kind)
51828 @*Return type: 
51829 bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
51831 @end deffn
51833 @geindex Has_Tolerance() (in module pyGHDL.libghdl.vhdl.nodes_meta)
51834 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Tolerance}@anchor{1613}
51835 @deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Tolerance (kind)
51837 @*Return type: 
51838 bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
51840 @end deffn
51842 @geindex Has_Plus_Terminal_Name() (in module pyGHDL.libghdl.vhdl.nodes_meta)
51843 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Plus_Terminal_Name}@anchor{1614}
51844 @deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Plus_Terminal_Name (kind)
51846 @*Return type: 
51847 bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
51849 @end deffn
51851 @geindex Has_Minus_Terminal_Name() (in module pyGHDL.libghdl.vhdl.nodes_meta)
51852 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Minus_Terminal_Name}@anchor{1615}
51853 @deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Minus_Terminal_Name (kind)
51855 @*Return type: 
51856 bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
51858 @end deffn
51860 @geindex Has_Plus_Terminal() (in module pyGHDL.libghdl.vhdl.nodes_meta)
51861 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Plus_Terminal}@anchor{1616}
51862 @deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Plus_Terminal (kind)
51864 @*Return type: 
51865 bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
51867 @end deffn
51869 @geindex Has_Minus_Terminal() (in module pyGHDL.libghdl.vhdl.nodes_meta)
51870 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Minus_Terminal}@anchor{1617}
51871 @deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Minus_Terminal (kind)
51873 @*Return type: 
51874 bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
51876 @end deffn
51878 @geindex Has_Magnitude_Expression() (in module pyGHDL.libghdl.vhdl.nodes_meta)
51879 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Magnitude_Expression}@anchor{1618}
51880 @deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Magnitude_Expression (kind)
51882 @*Return type: 
51883 bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
51885 @end deffn
51887 @geindex Has_Phase_Expression() (in module pyGHDL.libghdl.vhdl.nodes_meta)
51888 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Phase_Expression}@anchor{1619}
51889 @deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Phase_Expression (kind)
51891 @*Return type: 
51892 bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
51894 @end deffn
51896 @geindex Has_Power_Expression() (in module pyGHDL.libghdl.vhdl.nodes_meta)
51897 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Power_Expression}@anchor{161a}
51898 @deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Power_Expression (kind)
51900 @*Return type: 
51901 bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
51903 @end deffn
51905 @geindex Has_Simultaneous_Left() (in module pyGHDL.libghdl.vhdl.nodes_meta)
51906 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Simultaneous_Left}@anchor{161b}
51907 @deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Simultaneous_Left (kind)
51909 @*Return type: 
51910 bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
51912 @end deffn
51914 @geindex Has_Simultaneous_Right() (in module pyGHDL.libghdl.vhdl.nodes_meta)
51915 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Simultaneous_Right}@anchor{161c}
51916 @deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Simultaneous_Right (kind)
51918 @*Return type: 
51919 bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
51921 @end deffn
51923 @geindex Has_Text_File_Flag() (in module pyGHDL.libghdl.vhdl.nodes_meta)
51924 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Text_File_Flag}@anchor{161d}
51925 @deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Text_File_Flag (kind)
51927 @*Return type: 
51928 bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
51930 @end deffn
51932 @geindex Has_Only_Characters_Flag() (in module pyGHDL.libghdl.vhdl.nodes_meta)
51933 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Only_Characters_Flag}@anchor{161e}
51934 @deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Only_Characters_Flag (kind)
51936 @*Return type: 
51937 bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
51939 @end deffn
51941 @geindex Has_Is_Character_Type() (in module pyGHDL.libghdl.vhdl.nodes_meta)
51942 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Is_Character_Type}@anchor{161f}
51943 @deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Is_Character_Type (kind)
51945 @*Return type: 
51946 bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
51948 @end deffn
51950 @geindex Has_Nature_Staticness() (in module pyGHDL.libghdl.vhdl.nodes_meta)
51951 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Nature_Staticness}@anchor{1620}
51952 @deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Nature_Staticness (kind)
51954 @*Return type: 
51955 bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
51957 @end deffn
51959 @geindex Has_Type_Staticness() (in module pyGHDL.libghdl.vhdl.nodes_meta)
51960 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Type_Staticness}@anchor{1621}
51961 @deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Type_Staticness (kind)
51963 @*Return type: 
51964 bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
51966 @end deffn
51968 @geindex Has_Constraint_State() (in module pyGHDL.libghdl.vhdl.nodes_meta)
51969 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Constraint_State}@anchor{1622}
51970 @deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Constraint_State (kind)
51972 @*Return type: 
51973 bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
51975 @end deffn
51977 @geindex Has_Index_Subtype_List() (in module pyGHDL.libghdl.vhdl.nodes_meta)
51978 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Index_Subtype_List}@anchor{1623}
51979 @deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Index_Subtype_List (kind)
51981 @*Return type: 
51982 bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
51984 @end deffn
51986 @geindex Has_Index_Subtype_Definition_List() (in module pyGHDL.libghdl.vhdl.nodes_meta)
51987 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Index_Subtype_Definition_List}@anchor{1624}
51988 @deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Index_Subtype_Definition_List (kind)
51990 @*Return type: 
51991 bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
51993 @end deffn
51995 @geindex Has_Element_Subtype_Indication() (in module pyGHDL.libghdl.vhdl.nodes_meta)
51996 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Element_Subtype_Indication}@anchor{1625}
51997 @deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Element_Subtype_Indication (kind)
51999 @*Return type: 
52000 bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
52002 @end deffn
52004 @geindex Has_Element_Subtype() (in module pyGHDL.libghdl.vhdl.nodes_meta)
52005 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Element_Subtype}@anchor{1626}
52006 @deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Element_Subtype (kind)
52008 @*Return type: 
52009 bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
52011 @end deffn
52013 @geindex Has_Element_Subnature_Indication() (in module pyGHDL.libghdl.vhdl.nodes_meta)
52014 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Element_Subnature_Indication}@anchor{1627}
52015 @deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Element_Subnature_Indication (kind)
52017 @*Return type: 
52018 bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
52020 @end deffn
52022 @geindex Has_Element_Subnature() (in module pyGHDL.libghdl.vhdl.nodes_meta)
52023 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Element_Subnature}@anchor{1628}
52024 @deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Element_Subnature (kind)
52026 @*Return type: 
52027 bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
52029 @end deffn
52031 @geindex Has_Index_Constraint_List() (in module pyGHDL.libghdl.vhdl.nodes_meta)
52032 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Index_Constraint_List}@anchor{1629}
52033 @deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Index_Constraint_List (kind)
52035 @*Return type: 
52036 bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
52038 @end deffn
52040 @geindex Has_Array_Element_Constraint() (in module pyGHDL.libghdl.vhdl.nodes_meta)
52041 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Array_Element_Constraint}@anchor{162a}
52042 @deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Array_Element_Constraint (kind)
52044 @*Return type: 
52045 bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
52047 @end deffn
52049 @geindex Has_Has_Array_Constraint_Flag() (in module pyGHDL.libghdl.vhdl.nodes_meta)
52050 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Has_Array_Constraint_Flag}@anchor{162b}
52051 @deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Has_Array_Constraint_Flag (kind)
52053 @*Return type: 
52054 bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
52056 @end deffn
52058 @geindex Has_Has_Element_Constraint_Flag() (in module pyGHDL.libghdl.vhdl.nodes_meta)
52059 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Has_Element_Constraint_Flag}@anchor{162c}
52060 @deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Has_Element_Constraint_Flag (kind)
52062 @*Return type: 
52063 bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
52065 @end deffn
52067 @geindex Has_Elements_Declaration_List() (in module pyGHDL.libghdl.vhdl.nodes_meta)
52068 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Elements_Declaration_List}@anchor{162d}
52069 @deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Elements_Declaration_List (kind)
52071 @*Return type: 
52072 bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
52074 @end deffn
52076 @geindex Has_Owned_Elements_Chain() (in module pyGHDL.libghdl.vhdl.nodes_meta)
52077 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Owned_Elements_Chain}@anchor{162e}
52078 @deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Owned_Elements_Chain (kind)
52080 @*Return type: 
52081 bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
52083 @end deffn
52085 @geindex Has_Designated_Type() (in module pyGHDL.libghdl.vhdl.nodes_meta)
52086 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Designated_Type}@anchor{162f}
52087 @deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Designated_Type (kind)
52089 @*Return type: 
52090 bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
52092 @end deffn
52094 @geindex Has_Designated_Subtype_Indication() (in module pyGHDL.libghdl.vhdl.nodes_meta)
52095 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Designated_Subtype_Indication}@anchor{1630}
52096 @deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Designated_Subtype_Indication (kind)
52098 @*Return type: 
52099 bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
52101 @end deffn
52103 @geindex Has_Index_List() (in module pyGHDL.libghdl.vhdl.nodes_meta)
52104 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Index_List}@anchor{1631}
52105 @deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Index_List (kind)
52107 @*Return type: 
52108 bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
52110 @end deffn
52112 @geindex Has_Reference() (in module pyGHDL.libghdl.vhdl.nodes_meta)
52113 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Reference}@anchor{1632}
52114 @deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Reference (kind)
52116 @*Return type: 
52117 bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
52119 @end deffn
52121 @geindex Has_Nature_Declarator() (in module pyGHDL.libghdl.vhdl.nodes_meta)
52122 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Nature_Declarator}@anchor{1633}
52123 @deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Nature_Declarator (kind)
52125 @*Return type: 
52126 bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
52128 @end deffn
52130 @geindex Has_Across_Type_Mark() (in module pyGHDL.libghdl.vhdl.nodes_meta)
52131 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Across_Type_Mark}@anchor{1634}
52132 @deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Across_Type_Mark (kind)
52134 @*Return type: 
52135 bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
52137 @end deffn
52139 @geindex Has_Through_Type_Mark() (in module pyGHDL.libghdl.vhdl.nodes_meta)
52140 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Through_Type_Mark}@anchor{1635}
52141 @deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Through_Type_Mark (kind)
52143 @*Return type: 
52144 bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
52146 @end deffn
52148 @geindex Has_Across_Type_Definition() (in module pyGHDL.libghdl.vhdl.nodes_meta)
52149 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Across_Type_Definition}@anchor{1636}
52150 @deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Across_Type_Definition (kind)
52152 @*Return type: 
52153 bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
52155 @end deffn
52157 @geindex Has_Through_Type_Definition() (in module pyGHDL.libghdl.vhdl.nodes_meta)
52158 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Through_Type_Definition}@anchor{1637}
52159 @deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Through_Type_Definition (kind)
52161 @*Return type: 
52162 bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
52164 @end deffn
52166 @geindex Has_Across_Type() (in module pyGHDL.libghdl.vhdl.nodes_meta)
52167 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Across_Type}@anchor{1638}
52168 @deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Across_Type (kind)
52170 @*Return type: 
52171 bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
52173 @end deffn
52175 @geindex Has_Through_Type() (in module pyGHDL.libghdl.vhdl.nodes_meta)
52176 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Through_Type}@anchor{1639}
52177 @deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Through_Type (kind)
52179 @*Return type: 
52180 bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
52182 @end deffn
52184 @geindex Has_Target() (in module pyGHDL.libghdl.vhdl.nodes_meta)
52185 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Target}@anchor{163a}
52186 @deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Target (kind)
52188 @*Return type: 
52189 bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
52191 @end deffn
52193 @geindex Has_Waveform_Chain() (in module pyGHDL.libghdl.vhdl.nodes_meta)
52194 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Waveform_Chain}@anchor{163b}
52195 @deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Waveform_Chain (kind)
52197 @*Return type: 
52198 bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
52200 @end deffn
52202 @geindex Has_Guard() (in module pyGHDL.libghdl.vhdl.nodes_meta)
52203 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Guard}@anchor{163c}
52204 @deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Guard (kind)
52206 @*Return type: 
52207 bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
52209 @end deffn
52211 @geindex Has_Delay_Mechanism() (in module pyGHDL.libghdl.vhdl.nodes_meta)
52212 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Delay_Mechanism}@anchor{163d}
52213 @deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Delay_Mechanism (kind)
52215 @*Return type: 
52216 bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
52218 @end deffn
52220 @geindex Has_Reject_Time_Expression() (in module pyGHDL.libghdl.vhdl.nodes_meta)
52221 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Reject_Time_Expression}@anchor{163e}
52222 @deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Reject_Time_Expression (kind)
52224 @*Return type: 
52225 bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
52227 @end deffn
52229 @geindex Has_Force_Mode() (in module pyGHDL.libghdl.vhdl.nodes_meta)
52230 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Force_Mode}@anchor{163f}
52231 @deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Force_Mode (kind)
52233 @*Return type: 
52234 bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
52236 @end deffn
52238 @geindex Has_Has_Force_Mode() (in module pyGHDL.libghdl.vhdl.nodes_meta)
52239 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Has_Force_Mode}@anchor{1640}
52240 @deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Has_Force_Mode (kind)
52242 @*Return type: 
52243 bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
52245 @end deffn
52247 @geindex Has_Sensitivity_List() (in module pyGHDL.libghdl.vhdl.nodes_meta)
52248 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Sensitivity_List}@anchor{1641}
52249 @deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Sensitivity_List (kind)
52251 @*Return type: 
52252 bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
52254 @end deffn
52256 @geindex Has_Process_Origin() (in module pyGHDL.libghdl.vhdl.nodes_meta)
52257 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Process_Origin}@anchor{1642}
52258 @deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Process_Origin (kind)
52260 @*Return type: 
52261 bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
52263 @end deffn
52265 @geindex Has_Package_Origin() (in module pyGHDL.libghdl.vhdl.nodes_meta)
52266 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Package_Origin}@anchor{1643}
52267 @deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Package_Origin (kind)
52269 @*Return type: 
52270 bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
52272 @end deffn
52274 @geindex Has_Condition_Clause() (in module pyGHDL.libghdl.vhdl.nodes_meta)
52275 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Condition_Clause}@anchor{1644}
52276 @deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Condition_Clause (kind)
52278 @*Return type: 
52279 bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
52281 @end deffn
52283 @geindex Has_Break_Element() (in module pyGHDL.libghdl.vhdl.nodes_meta)
52284 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Break_Element}@anchor{1645}
52285 @deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Break_Element (kind)
52287 @*Return type: 
52288 bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
52290 @end deffn
52292 @geindex Has_Selector_Quantity() (in module pyGHDL.libghdl.vhdl.nodes_meta)
52293 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Selector_Quantity}@anchor{1646}
52294 @deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Selector_Quantity (kind)
52296 @*Return type: 
52297 bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
52299 @end deffn
52301 @geindex Has_Break_Quantity() (in module pyGHDL.libghdl.vhdl.nodes_meta)
52302 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Break_Quantity}@anchor{1647}
52303 @deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Break_Quantity (kind)
52305 @*Return type: 
52306 bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
52308 @end deffn
52310 @geindex Has_Timeout_Clause() (in module pyGHDL.libghdl.vhdl.nodes_meta)
52311 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Timeout_Clause}@anchor{1648}
52312 @deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Timeout_Clause (kind)
52314 @*Return type: 
52315 bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
52317 @end deffn
52319 @geindex Has_Postponed_Flag() (in module pyGHDL.libghdl.vhdl.nodes_meta)
52320 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Postponed_Flag}@anchor{1649}
52321 @deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Postponed_Flag (kind)
52323 @*Return type: 
52324 bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
52326 @end deffn
52328 @geindex Has_Callees_List() (in module pyGHDL.libghdl.vhdl.nodes_meta)
52329 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Callees_List}@anchor{164a}
52330 @deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Callees_List (kind)
52332 @*Return type: 
52333 bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
52335 @end deffn
52337 @geindex Has_Passive_Flag() (in module pyGHDL.libghdl.vhdl.nodes_meta)
52338 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Passive_Flag}@anchor{164b}
52339 @deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Passive_Flag (kind)
52341 @*Return type: 
52342 bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
52344 @end deffn
52346 @geindex Has_Resolution_Function_Flag() (in module pyGHDL.libghdl.vhdl.nodes_meta)
52347 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Resolution_Function_Flag}@anchor{164c}
52348 @deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Resolution_Function_Flag (kind)
52350 @*Return type: 
52351 bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
52353 @end deffn
52355 @geindex Has_Wait_State() (in module pyGHDL.libghdl.vhdl.nodes_meta)
52356 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Wait_State}@anchor{164d}
52357 @deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Wait_State (kind)
52359 @*Return type: 
52360 bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
52362 @end deffn
52364 @geindex Has_All_Sensitized_State() (in module pyGHDL.libghdl.vhdl.nodes_meta)
52365 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_All_Sensitized_State}@anchor{164e}
52366 @deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_All_Sensitized_State (kind)
52368 @*Return type: 
52369 bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
52371 @end deffn
52373 @geindex Has_Seen_Flag() (in module pyGHDL.libghdl.vhdl.nodes_meta)
52374 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Seen_Flag}@anchor{164f}
52375 @deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Seen_Flag (kind)
52377 @*Return type: 
52378 bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
52380 @end deffn
52382 @geindex Has_Pure_Flag() (in module pyGHDL.libghdl.vhdl.nodes_meta)
52383 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Pure_Flag}@anchor{1650}
52384 @deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Pure_Flag (kind)
52386 @*Return type: 
52387 bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
52389 @end deffn
52391 @geindex Has_Foreign_Flag() (in module pyGHDL.libghdl.vhdl.nodes_meta)
52392 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Foreign_Flag}@anchor{1651}
52393 @deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Foreign_Flag (kind)
52395 @*Return type: 
52396 bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
52398 @end deffn
52400 @geindex Has_Resolved_Flag() (in module pyGHDL.libghdl.vhdl.nodes_meta)
52401 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Resolved_Flag}@anchor{1652}
52402 @deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Resolved_Flag (kind)
52404 @*Return type: 
52405 bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
52407 @end deffn
52409 @geindex Has_Signal_Type_Flag() (in module pyGHDL.libghdl.vhdl.nodes_meta)
52410 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Signal_Type_Flag}@anchor{1653}
52411 @deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Signal_Type_Flag (kind)
52413 @*Return type: 
52414 bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
52416 @end deffn
52418 @geindex Has_Has_Signal_Flag() (in module pyGHDL.libghdl.vhdl.nodes_meta)
52419 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Has_Signal_Flag}@anchor{1654}
52420 @deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Has_Signal_Flag (kind)
52422 @*Return type: 
52423 bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
52425 @end deffn
52427 @geindex Has_Purity_State() (in module pyGHDL.libghdl.vhdl.nodes_meta)
52428 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Purity_State}@anchor{1655}
52429 @deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Purity_State (kind)
52431 @*Return type: 
52432 bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
52434 @end deffn
52436 @geindex Has_Elab_Flag() (in module pyGHDL.libghdl.vhdl.nodes_meta)
52437 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Elab_Flag}@anchor{1656}
52438 @deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Elab_Flag (kind)
52440 @*Return type: 
52441 bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
52443 @end deffn
52445 @geindex Has_Vendor_Library_Flag() (in module pyGHDL.libghdl.vhdl.nodes_meta)
52446 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Vendor_Library_Flag}@anchor{1657}
52447 @deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Vendor_Library_Flag (kind)
52449 @*Return type: 
52450 bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
52452 @end deffn
52454 @geindex Has_Configuration_Mark_Flag() (in module pyGHDL.libghdl.vhdl.nodes_meta)
52455 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Configuration_Mark_Flag}@anchor{1658}
52456 @deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Configuration_Mark_Flag (kind)
52458 @*Return type: 
52459 bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
52461 @end deffn
52463 @geindex Has_Configuration_Done_Flag() (in module pyGHDL.libghdl.vhdl.nodes_meta)
52464 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Configuration_Done_Flag}@anchor{1659}
52465 @deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Configuration_Done_Flag (kind)
52467 @*Return type: 
52468 bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
52470 @end deffn
52472 @geindex Has_Index_Constraint_Flag() (in module pyGHDL.libghdl.vhdl.nodes_meta)
52473 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Index_Constraint_Flag}@anchor{165a}
52474 @deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Index_Constraint_Flag (kind)
52476 @*Return type: 
52477 bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
52479 @end deffn
52481 @geindex Has_Hide_Implicit_Flag() (in module pyGHDL.libghdl.vhdl.nodes_meta)
52482 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Hide_Implicit_Flag}@anchor{165b}
52483 @deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Hide_Implicit_Flag (kind)
52485 @*Return type: 
52486 bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
52488 @end deffn
52490 @geindex Has_Assertion_Condition() (in module pyGHDL.libghdl.vhdl.nodes_meta)
52491 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Assertion_Condition}@anchor{165c}
52492 @deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Assertion_Condition (kind)
52494 @*Return type: 
52495 bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
52497 @end deffn
52499 @geindex Has_Report_Expression() (in module pyGHDL.libghdl.vhdl.nodes_meta)
52500 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Report_Expression}@anchor{165d}
52501 @deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Report_Expression (kind)
52503 @*Return type: 
52504 bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
52506 @end deffn
52508 @geindex Has_Severity_Expression() (in module pyGHDL.libghdl.vhdl.nodes_meta)
52509 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Severity_Expression}@anchor{165e}
52510 @deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Severity_Expression (kind)
52512 @*Return type: 
52513 bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
52515 @end deffn
52517 @geindex Has_Instantiated_Unit() (in module pyGHDL.libghdl.vhdl.nodes_meta)
52518 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Instantiated_Unit}@anchor{165f}
52519 @deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Instantiated_Unit (kind)
52521 @*Return type: 
52522 bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
52524 @end deffn
52526 @geindex Has_Generic_Map_Aspect_Chain() (in module pyGHDL.libghdl.vhdl.nodes_meta)
52527 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Generic_Map_Aspect_Chain}@anchor{1660}
52528 @deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Generic_Map_Aspect_Chain (kind)
52530 @*Return type: 
52531 bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
52533 @end deffn
52535 @geindex Has_Port_Map_Aspect_Chain() (in module pyGHDL.libghdl.vhdl.nodes_meta)
52536 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Port_Map_Aspect_Chain}@anchor{1661}
52537 @deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Port_Map_Aspect_Chain (kind)
52539 @*Return type: 
52540 bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
52542 @end deffn
52544 @geindex Has_Configuration_Name() (in module pyGHDL.libghdl.vhdl.nodes_meta)
52545 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Configuration_Name}@anchor{1662}
52546 @deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Configuration_Name (kind)
52548 @*Return type: 
52549 bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
52551 @end deffn
52553 @geindex Has_Component_Configuration() (in module pyGHDL.libghdl.vhdl.nodes_meta)
52554 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Component_Configuration}@anchor{1663}
52555 @deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Component_Configuration (kind)
52557 @*Return type: 
52558 bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
52560 @end deffn
52562 @geindex Has_Configuration_Specification() (in module pyGHDL.libghdl.vhdl.nodes_meta)
52563 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Configuration_Specification}@anchor{1664}
52564 @deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Configuration_Specification (kind)
52566 @*Return type: 
52567 bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
52569 @end deffn
52571 @geindex Has_Default_Binding_Indication() (in module pyGHDL.libghdl.vhdl.nodes_meta)
52572 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Default_Binding_Indication}@anchor{1665}
52573 @deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Default_Binding_Indication (kind)
52575 @*Return type: 
52576 bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
52578 @end deffn
52580 @geindex Has_Default_Configuration_Declaration() (in module pyGHDL.libghdl.vhdl.nodes_meta)
52581 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Default_Configuration_Declaration}@anchor{1666}
52582 @deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Default_Configuration_Declaration (kind)
52584 @*Return type: 
52585 bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
52587 @end deffn
52589 @geindex Has_Expression() (in module pyGHDL.libghdl.vhdl.nodes_meta)
52590 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Expression}@anchor{1667}
52591 @deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Expression (kind)
52593 @*Return type: 
52594 bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
52596 @end deffn
52598 @geindex Has_Conditional_Expression_Chain() (in module pyGHDL.libghdl.vhdl.nodes_meta)
52599 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Conditional_Expression_Chain}@anchor{1668}
52600 @deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Conditional_Expression_Chain (kind)
52602 @*Return type: 
52603 bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
52605 @end deffn
52607 @geindex Has_Allocator_Designated_Type() (in module pyGHDL.libghdl.vhdl.nodes_meta)
52608 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Allocator_Designated_Type}@anchor{1669}
52609 @deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Allocator_Designated_Type (kind)
52611 @*Return type: 
52612 bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
52614 @end deffn
52616 @geindex Has_Selected_Waveform_Chain() (in module pyGHDL.libghdl.vhdl.nodes_meta)
52617 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Selected_Waveform_Chain}@anchor{166a}
52618 @deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Selected_Waveform_Chain (kind)
52620 @*Return type: 
52621 bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
52623 @end deffn
52625 @geindex Has_Conditional_Waveform_Chain() (in module pyGHDL.libghdl.vhdl.nodes_meta)
52626 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Conditional_Waveform_Chain}@anchor{166b}
52627 @deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Conditional_Waveform_Chain (kind)
52629 @*Return type: 
52630 bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
52632 @end deffn
52634 @geindex Has_Guard_Expression() (in module pyGHDL.libghdl.vhdl.nodes_meta)
52635 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Guard_Expression}@anchor{166c}
52636 @deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Guard_Expression (kind)
52638 @*Return type: 
52639 bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
52641 @end deffn
52643 @geindex Has_Guard_Decl() (in module pyGHDL.libghdl.vhdl.nodes_meta)
52644 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Guard_Decl}@anchor{166d}
52645 @deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Guard_Decl (kind)
52647 @*Return type: 
52648 bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
52650 @end deffn
52652 @geindex Has_Guard_Sensitivity_List() (in module pyGHDL.libghdl.vhdl.nodes_meta)
52653 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Guard_Sensitivity_List}@anchor{166e}
52654 @deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Guard_Sensitivity_List (kind)
52656 @*Return type: 
52657 bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
52659 @end deffn
52661 @geindex Has_Signal_Attribute_Chain() (in module pyGHDL.libghdl.vhdl.nodes_meta)
52662 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Signal_Attribute_Chain}@anchor{166f}
52663 @deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Signal_Attribute_Chain (kind)
52665 @*Return type: 
52666 bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
52668 @end deffn
52670 @geindex Has_Block_Block_Configuration() (in module pyGHDL.libghdl.vhdl.nodes_meta)
52671 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Block_Block_Configuration}@anchor{1670}
52672 @deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Block_Block_Configuration (kind)
52674 @*Return type: 
52675 bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
52677 @end deffn
52679 @geindex Has_Package_Header() (in module pyGHDL.libghdl.vhdl.nodes_meta)
52680 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Package_Header}@anchor{1671}
52681 @deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Package_Header (kind)
52683 @*Return type: 
52684 bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
52686 @end deffn
52688 @geindex Has_Block_Header() (in module pyGHDL.libghdl.vhdl.nodes_meta)
52689 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Block_Header}@anchor{1672}
52690 @deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Block_Header (kind)
52692 @*Return type: 
52693 bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
52695 @end deffn
52697 @geindex Has_Uninstantiated_Package_Name() (in module pyGHDL.libghdl.vhdl.nodes_meta)
52698 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Uninstantiated_Package_Name}@anchor{1673}
52699 @deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Uninstantiated_Package_Name (kind)
52701 @*Return type: 
52702 bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
52704 @end deffn
52706 @geindex Has_Uninstantiated_Package_Decl() (in module pyGHDL.libghdl.vhdl.nodes_meta)
52707 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Uninstantiated_Package_Decl}@anchor{1674}
52708 @deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Uninstantiated_Package_Decl (kind)
52710 @*Return type: 
52711 bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
52713 @end deffn
52715 @geindex Has_Instance_Source_File() (in module pyGHDL.libghdl.vhdl.nodes_meta)
52716 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Instance_Source_File}@anchor{1675}
52717 @deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Instance_Source_File (kind)
52719 @*Return type: 
52720 bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
52722 @end deffn
52724 @geindex Has_Generate_Block_Configuration() (in module pyGHDL.libghdl.vhdl.nodes_meta)
52725 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Generate_Block_Configuration}@anchor{1676}
52726 @deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Generate_Block_Configuration (kind)
52728 @*Return type: 
52729 bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
52731 @end deffn
52733 @geindex Has_Generate_Statement_Body() (in module pyGHDL.libghdl.vhdl.nodes_meta)
52734 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Generate_Statement_Body}@anchor{1677}
52735 @deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Generate_Statement_Body (kind)
52737 @*Return type: 
52738 bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
52740 @end deffn
52742 @geindex Has_Alternative_Label() (in module pyGHDL.libghdl.vhdl.nodes_meta)
52743 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Alternative_Label}@anchor{1678}
52744 @deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Alternative_Label (kind)
52746 @*Return type: 
52747 bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
52749 @end deffn
52751 @geindex Has_Generate_Else_Clause() (in module pyGHDL.libghdl.vhdl.nodes_meta)
52752 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Generate_Else_Clause}@anchor{1679}
52753 @deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Generate_Else_Clause (kind)
52755 @*Return type: 
52756 bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
52758 @end deffn
52760 @geindex Has_Condition() (in module pyGHDL.libghdl.vhdl.nodes_meta)
52761 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Condition}@anchor{167a}
52762 @deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Condition (kind)
52764 @*Return type: 
52765 bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
52767 @end deffn
52769 @geindex Has_Else_Clause() (in module pyGHDL.libghdl.vhdl.nodes_meta)
52770 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Else_Clause}@anchor{167b}
52771 @deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Else_Clause (kind)
52773 @*Return type: 
52774 bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
52776 @end deffn
52778 @geindex Has_Parameter_Specification() (in module pyGHDL.libghdl.vhdl.nodes_meta)
52779 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Parameter_Specification}@anchor{167c}
52780 @deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Parameter_Specification (kind)
52782 @*Return type: 
52783 bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
52785 @end deffn
52787 @geindex Has_Parent() (in module pyGHDL.libghdl.vhdl.nodes_meta)
52788 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Parent}@anchor{167d}
52789 @deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Parent (kind)
52791 @*Return type: 
52792 bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
52794 @end deffn
52796 @geindex Has_Loop_Label() (in module pyGHDL.libghdl.vhdl.nodes_meta)
52797 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Loop_Label}@anchor{167e}
52798 @deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Loop_Label (kind)
52800 @*Return type: 
52801 bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
52803 @end deffn
52805 @geindex Has_Exit_Flag() (in module pyGHDL.libghdl.vhdl.nodes_meta)
52806 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Exit_Flag}@anchor{167f}
52807 @deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Exit_Flag (kind)
52809 @*Return type: 
52810 bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
52812 @end deffn
52814 @geindex Has_Next_Flag() (in module pyGHDL.libghdl.vhdl.nodes_meta)
52815 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Next_Flag}@anchor{1680}
52816 @deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Next_Flag (kind)
52818 @*Return type: 
52819 bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
52821 @end deffn
52823 @geindex Has_Component_Name() (in module pyGHDL.libghdl.vhdl.nodes_meta)
52824 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Component_Name}@anchor{1681}
52825 @deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Component_Name (kind)
52827 @*Return type: 
52828 bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
52830 @end deffn
52832 @geindex Has_Instantiation_List() (in module pyGHDL.libghdl.vhdl.nodes_meta)
52833 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Instantiation_List}@anchor{1682}
52834 @deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Instantiation_List (kind)
52836 @*Return type: 
52837 bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
52839 @end deffn
52841 @geindex Has_Entity_Aspect() (in module pyGHDL.libghdl.vhdl.nodes_meta)
52842 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Entity_Aspect}@anchor{1683}
52843 @deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Entity_Aspect (kind)
52845 @*Return type: 
52846 bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
52848 @end deffn
52850 @geindex Has_Default_Entity_Aspect() (in module pyGHDL.libghdl.vhdl.nodes_meta)
52851 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Default_Entity_Aspect}@anchor{1684}
52852 @deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Default_Entity_Aspect (kind)
52854 @*Return type: 
52855 bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
52857 @end deffn
52859 @geindex Has_Binding_Indication() (in module pyGHDL.libghdl.vhdl.nodes_meta)
52860 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Binding_Indication}@anchor{1685}
52861 @deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Binding_Indication (kind)
52863 @*Return type: 
52864 bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
52866 @end deffn
52868 @geindex Has_Named_Entity() (in module pyGHDL.libghdl.vhdl.nodes_meta)
52869 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Named_Entity}@anchor{1686}
52870 @deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Named_Entity (kind)
52872 @*Return type: 
52873 bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
52875 @end deffn
52877 @geindex Has_Referenced_Name() (in module pyGHDL.libghdl.vhdl.nodes_meta)
52878 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Referenced_Name}@anchor{1687}
52879 @deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Referenced_Name (kind)
52881 @*Return type: 
52882 bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
52884 @end deffn
52886 @geindex Has_Expr_Staticness() (in module pyGHDL.libghdl.vhdl.nodes_meta)
52887 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Expr_Staticness}@anchor{1688}
52888 @deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Expr_Staticness (kind)
52890 @*Return type: 
52891 bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
52893 @end deffn
52895 @geindex Has_Scalar_Size() (in module pyGHDL.libghdl.vhdl.nodes_meta)
52896 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Scalar_Size}@anchor{1689}
52897 @deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Scalar_Size (kind)
52899 @*Return type: 
52900 bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
52902 @end deffn
52904 @geindex Has_Error_Origin() (in module pyGHDL.libghdl.vhdl.nodes_meta)
52905 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Error_Origin}@anchor{168a}
52906 @deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Error_Origin (kind)
52908 @*Return type: 
52909 bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
52911 @end deffn
52913 @geindex Has_Operand() (in module pyGHDL.libghdl.vhdl.nodes_meta)
52914 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Operand}@anchor{168b}
52915 @deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Operand (kind)
52917 @*Return type: 
52918 bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
52920 @end deffn
52922 @geindex Has_Left() (in module pyGHDL.libghdl.vhdl.nodes_meta)
52923 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Left}@anchor{168c}
52924 @deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Left (kind)
52926 @*Return type: 
52927 bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
52929 @end deffn
52931 @geindex Has_Right() (in module pyGHDL.libghdl.vhdl.nodes_meta)
52932 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Right}@anchor{168d}
52933 @deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Right (kind)
52935 @*Return type: 
52936 bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
52938 @end deffn
52940 @geindex Has_Unit_Name() (in module pyGHDL.libghdl.vhdl.nodes_meta)
52941 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Unit_Name}@anchor{168e}
52942 @deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Unit_Name (kind)
52944 @*Return type: 
52945 bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
52947 @end deffn
52949 @geindex Has_Name() (in module pyGHDL.libghdl.vhdl.nodes_meta)
52950 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Name}@anchor{168f}
52951 @deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Name (kind)
52953 @*Return type: 
52954 bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
52956 @end deffn
52958 @geindex Has_Group_Template_Name() (in module pyGHDL.libghdl.vhdl.nodes_meta)
52959 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Group_Template_Name}@anchor{1690}
52960 @deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Group_Template_Name (kind)
52962 @*Return type: 
52963 bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
52965 @end deffn
52967 @geindex Has_Name_Staticness() (in module pyGHDL.libghdl.vhdl.nodes_meta)
52968 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Name_Staticness}@anchor{1691}
52969 @deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Name_Staticness (kind)
52971 @*Return type: 
52972 bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
52974 @end deffn
52976 @geindex Has_Prefix() (in module pyGHDL.libghdl.vhdl.nodes_meta)
52977 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Prefix}@anchor{1692}
52978 @deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Prefix (kind)
52980 @*Return type: 
52981 bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
52983 @end deffn
52985 @geindex Has_Signature_Prefix() (in module pyGHDL.libghdl.vhdl.nodes_meta)
52986 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Signature_Prefix}@anchor{1693}
52987 @deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Signature_Prefix (kind)
52989 @*Return type: 
52990 bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
52992 @end deffn
52994 @geindex Has_External_Pathname() (in module pyGHDL.libghdl.vhdl.nodes_meta)
52995 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_External_Pathname}@anchor{1694}
52996 @deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_External_Pathname (kind)
52998 @*Return type: 
52999 bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
53001 @end deffn
53003 @geindex Has_Pathname_Suffix() (in module pyGHDL.libghdl.vhdl.nodes_meta)
53004 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Pathname_Suffix}@anchor{1695}
53005 @deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Pathname_Suffix (kind)
53007 @*Return type: 
53008 bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
53010 @end deffn
53012 @geindex Has_Pathname_Expression() (in module pyGHDL.libghdl.vhdl.nodes_meta)
53013 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Pathname_Expression}@anchor{1696}
53014 @deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Pathname_Expression (kind)
53016 @*Return type: 
53017 bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
53019 @end deffn
53021 @geindex Has_In_Formal_Flag() (in module pyGHDL.libghdl.vhdl.nodes_meta)
53022 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_In_Formal_Flag}@anchor{1697}
53023 @deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_In_Formal_Flag (kind)
53025 @*Return type: 
53026 bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
53028 @end deffn
53030 @geindex Has_Slice_Subtype() (in module pyGHDL.libghdl.vhdl.nodes_meta)
53031 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Slice_Subtype}@anchor{1698}
53032 @deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Slice_Subtype (kind)
53034 @*Return type: 
53035 bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
53037 @end deffn
53039 @geindex Has_Suffix() (in module pyGHDL.libghdl.vhdl.nodes_meta)
53040 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Suffix}@anchor{1699}
53041 @deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Suffix (kind)
53043 @*Return type: 
53044 bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
53046 @end deffn
53048 @geindex Has_Index_Subtype() (in module pyGHDL.libghdl.vhdl.nodes_meta)
53049 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Index_Subtype}@anchor{169a}
53050 @deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Index_Subtype (kind)
53052 @*Return type: 
53053 bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
53055 @end deffn
53057 @geindex Has_Parameter() (in module pyGHDL.libghdl.vhdl.nodes_meta)
53058 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Parameter}@anchor{169b}
53059 @deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Parameter (kind)
53061 @*Return type: 
53062 bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
53064 @end deffn
53066 @geindex Has_Parameter_2() (in module pyGHDL.libghdl.vhdl.nodes_meta)
53067 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Parameter_2}@anchor{169c}
53068 @deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Parameter_2 (kind)
53070 @*Return type: 
53071 bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
53073 @end deffn
53075 @geindex Has_Parameter_3() (in module pyGHDL.libghdl.vhdl.nodes_meta)
53076 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Parameter_3}@anchor{169d}
53077 @deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Parameter_3 (kind)
53079 @*Return type: 
53080 bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
53082 @end deffn
53084 @geindex Has_Parameter_4() (in module pyGHDL.libghdl.vhdl.nodes_meta)
53085 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Parameter_4}@anchor{169e}
53086 @deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Parameter_4 (kind)
53088 @*Return type: 
53089 bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
53091 @end deffn
53093 @geindex Has_Attr_Chain() (in module pyGHDL.libghdl.vhdl.nodes_meta)
53094 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Attr_Chain}@anchor{169f}
53095 @deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Attr_Chain (kind)
53097 @*Return type: 
53098 bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
53100 @end deffn
53102 @geindex Has_Signal_Attribute_Declaration() (in module pyGHDL.libghdl.vhdl.nodes_meta)
53103 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Signal_Attribute_Declaration}@anchor{16a0}
53104 @deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Signal_Attribute_Declaration (kind)
53106 @*Return type: 
53107 bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
53109 @end deffn
53111 @geindex Has_Actual_Type() (in module pyGHDL.libghdl.vhdl.nodes_meta)
53112 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Actual_Type}@anchor{16a1}
53113 @deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Actual_Type (kind)
53115 @*Return type: 
53116 bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
53118 @end deffn
53120 @geindex Has_Actual_Type_Definition() (in module pyGHDL.libghdl.vhdl.nodes_meta)
53121 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Actual_Type_Definition}@anchor{16a2}
53122 @deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Actual_Type_Definition (kind)
53124 @*Return type: 
53125 bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
53127 @end deffn
53129 @geindex Has_Association_Chain() (in module pyGHDL.libghdl.vhdl.nodes_meta)
53130 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Association_Chain}@anchor{16a3}
53131 @deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Association_Chain (kind)
53133 @*Return type: 
53134 bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
53136 @end deffn
53138 @geindex Has_Individual_Association_Chain() (in module pyGHDL.libghdl.vhdl.nodes_meta)
53139 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Individual_Association_Chain}@anchor{16a4}
53140 @deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Individual_Association_Chain (kind)
53142 @*Return type: 
53143 bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
53145 @end deffn
53147 @geindex Has_Subprogram_Association_Chain() (in module pyGHDL.libghdl.vhdl.nodes_meta)
53148 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Subprogram_Association_Chain}@anchor{16a5}
53149 @deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Subprogram_Association_Chain (kind)
53151 @*Return type: 
53152 bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
53154 @end deffn
53156 @geindex Has_Aggregate_Info() (in module pyGHDL.libghdl.vhdl.nodes_meta)
53157 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Aggregate_Info}@anchor{16a6}
53158 @deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Aggregate_Info (kind)
53160 @*Return type: 
53161 bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
53163 @end deffn
53165 @geindex Has_Sub_Aggregate_Info() (in module pyGHDL.libghdl.vhdl.nodes_meta)
53166 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Sub_Aggregate_Info}@anchor{16a7}
53167 @deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Sub_Aggregate_Info (kind)
53169 @*Return type: 
53170 bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
53172 @end deffn
53174 @geindex Has_Aggr_Dynamic_Flag() (in module pyGHDL.libghdl.vhdl.nodes_meta)
53175 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Aggr_Dynamic_Flag}@anchor{16a8}
53176 @deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Aggr_Dynamic_Flag (kind)
53178 @*Return type: 
53179 bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
53181 @end deffn
53183 @geindex Has_Aggr_Min_Length() (in module pyGHDL.libghdl.vhdl.nodes_meta)
53184 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Aggr_Min_Length}@anchor{16a9}
53185 @deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Aggr_Min_Length (kind)
53187 @*Return type: 
53188 bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
53190 @end deffn
53192 @geindex Has_Aggr_Low_Limit() (in module pyGHDL.libghdl.vhdl.nodes_meta)
53193 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Aggr_Low_Limit}@anchor{16aa}
53194 @deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Aggr_Low_Limit (kind)
53196 @*Return type: 
53197 bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
53199 @end deffn
53201 @geindex Has_Aggr_High_Limit() (in module pyGHDL.libghdl.vhdl.nodes_meta)
53202 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Aggr_High_Limit}@anchor{16ab}
53203 @deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Aggr_High_Limit (kind)
53205 @*Return type: 
53206 bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
53208 @end deffn
53210 @geindex Has_Aggr_Others_Flag() (in module pyGHDL.libghdl.vhdl.nodes_meta)
53211 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Aggr_Others_Flag}@anchor{16ac}
53212 @deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Aggr_Others_Flag (kind)
53214 @*Return type: 
53215 bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
53217 @end deffn
53219 @geindex Has_Aggr_Named_Flag() (in module pyGHDL.libghdl.vhdl.nodes_meta)
53220 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Aggr_Named_Flag}@anchor{16ad}
53221 @deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Aggr_Named_Flag (kind)
53223 @*Return type: 
53224 bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
53226 @end deffn
53228 @geindex Has_Aggregate_Expand_Flag() (in module pyGHDL.libghdl.vhdl.nodes_meta)
53229 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Aggregate_Expand_Flag}@anchor{16ae}
53230 @deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Aggregate_Expand_Flag (kind)
53232 @*Return type: 
53233 bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
53235 @end deffn
53237 @geindex Has_Association_Choices_Chain() (in module pyGHDL.libghdl.vhdl.nodes_meta)
53238 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Association_Choices_Chain}@anchor{16af}
53239 @deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Association_Choices_Chain (kind)
53241 @*Return type: 
53242 bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
53244 @end deffn
53246 @geindex Has_Case_Statement_Alternative_Chain() (in module pyGHDL.libghdl.vhdl.nodes_meta)
53247 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Case_Statement_Alternative_Chain}@anchor{16b0}
53248 @deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Case_Statement_Alternative_Chain (kind)
53250 @*Return type: 
53251 bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
53253 @end deffn
53255 @geindex Has_Matching_Flag() (in module pyGHDL.libghdl.vhdl.nodes_meta)
53256 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Matching_Flag}@anchor{16b1}
53257 @deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Matching_Flag (kind)
53259 @*Return type: 
53260 bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
53262 @end deffn
53264 @geindex Has_Choice_Staticness() (in module pyGHDL.libghdl.vhdl.nodes_meta)
53265 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Choice_Staticness}@anchor{16b2}
53266 @deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Choice_Staticness (kind)
53268 @*Return type: 
53269 bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
53271 @end deffn
53273 @geindex Has_Procedure_Call() (in module pyGHDL.libghdl.vhdl.nodes_meta)
53274 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Procedure_Call}@anchor{16b3}
53275 @deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Procedure_Call (kind)
53277 @*Return type: 
53278 bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
53280 @end deffn
53282 @geindex Has_Implementation() (in module pyGHDL.libghdl.vhdl.nodes_meta)
53283 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Implementation}@anchor{16b4}
53284 @deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Implementation (kind)
53286 @*Return type: 
53287 bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
53289 @end deffn
53291 @geindex Has_Parameter_Association_Chain() (in module pyGHDL.libghdl.vhdl.nodes_meta)
53292 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Parameter_Association_Chain}@anchor{16b5}
53293 @deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Parameter_Association_Chain (kind)
53295 @*Return type: 
53296 bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
53298 @end deffn
53300 @geindex Has_Method_Object() (in module pyGHDL.libghdl.vhdl.nodes_meta)
53301 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Method_Object}@anchor{16b6}
53302 @deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Method_Object (kind)
53304 @*Return type: 
53305 bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
53307 @end deffn
53309 @geindex Has_Subtype_Type_Mark() (in module pyGHDL.libghdl.vhdl.nodes_meta)
53310 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Subtype_Type_Mark}@anchor{16b7}
53311 @deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Subtype_Type_Mark (kind)
53313 @*Return type: 
53314 bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
53316 @end deffn
53318 @geindex Has_Subnature_Nature_Mark() (in module pyGHDL.libghdl.vhdl.nodes_meta)
53319 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Subnature_Nature_Mark}@anchor{16b8}
53320 @deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Subnature_Nature_Mark (kind)
53322 @*Return type: 
53323 bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
53325 @end deffn
53327 @geindex Has_Type_Conversion_Subtype() (in module pyGHDL.libghdl.vhdl.nodes_meta)
53328 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Type_Conversion_Subtype}@anchor{16b9}
53329 @deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Type_Conversion_Subtype (kind)
53331 @*Return type: 
53332 bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
53334 @end deffn
53336 @geindex Has_Type_Mark() (in module pyGHDL.libghdl.vhdl.nodes_meta)
53337 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Type_Mark}@anchor{16ba}
53338 @deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Type_Mark (kind)
53340 @*Return type: 
53341 bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
53343 @end deffn
53345 @geindex Has_File_Type_Mark() (in module pyGHDL.libghdl.vhdl.nodes_meta)
53346 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_File_Type_Mark}@anchor{16bb}
53347 @deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_File_Type_Mark (kind)
53349 @*Return type: 
53350 bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
53352 @end deffn
53354 @geindex Has_Return_Type_Mark() (in module pyGHDL.libghdl.vhdl.nodes_meta)
53355 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Return_Type_Mark}@anchor{16bc}
53356 @deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Return_Type_Mark (kind)
53358 @*Return type: 
53359 bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
53361 @end deffn
53363 @geindex Has_Has_Disconnect_Flag() (in module pyGHDL.libghdl.vhdl.nodes_meta)
53364 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Has_Disconnect_Flag}@anchor{16bd}
53365 @deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Has_Disconnect_Flag (kind)
53367 @*Return type: 
53368 bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
53370 @end deffn
53372 @geindex Has_Has_Active_Flag() (in module pyGHDL.libghdl.vhdl.nodes_meta)
53373 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Has_Active_Flag}@anchor{16be}
53374 @deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Has_Active_Flag (kind)
53376 @*Return type: 
53377 bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
53379 @end deffn
53381 @geindex Has_Is_Within_Flag() (in module pyGHDL.libghdl.vhdl.nodes_meta)
53382 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Is_Within_Flag}@anchor{16bf}
53383 @deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Is_Within_Flag (kind)
53385 @*Return type: 
53386 bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
53388 @end deffn
53390 @geindex Has_Type_Marks_List() (in module pyGHDL.libghdl.vhdl.nodes_meta)
53391 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Type_Marks_List}@anchor{16c0}
53392 @deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Type_Marks_List (kind)
53394 @*Return type: 
53395 bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
53397 @end deffn
53399 @geindex Has_Implicit_Alias_Flag() (in module pyGHDL.libghdl.vhdl.nodes_meta)
53400 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Implicit_Alias_Flag}@anchor{16c1}
53401 @deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Implicit_Alias_Flag (kind)
53403 @*Return type: 
53404 bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
53406 @end deffn
53408 @geindex Has_Alias_Signature() (in module pyGHDL.libghdl.vhdl.nodes_meta)
53409 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Alias_Signature}@anchor{16c2}
53410 @deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Alias_Signature (kind)
53412 @*Return type: 
53413 bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
53415 @end deffn
53417 @geindex Has_Attribute_Signature() (in module pyGHDL.libghdl.vhdl.nodes_meta)
53418 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Attribute_Signature}@anchor{16c3}
53419 @deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Attribute_Signature (kind)
53421 @*Return type: 
53422 bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
53424 @end deffn
53426 @geindex Has_Overload_List() (in module pyGHDL.libghdl.vhdl.nodes_meta)
53427 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Overload_List}@anchor{16c4}
53428 @deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Overload_List (kind)
53430 @*Return type: 
53431 bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
53433 @end deffn
53435 @geindex Has_Simple_Name_Identifier() (in module pyGHDL.libghdl.vhdl.nodes_meta)
53436 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Simple_Name_Identifier}@anchor{16c5}
53437 @deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Simple_Name_Identifier (kind)
53439 @*Return type: 
53440 bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
53442 @end deffn
53444 @geindex Has_Simple_Name_Subtype() (in module pyGHDL.libghdl.vhdl.nodes_meta)
53445 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Simple_Name_Subtype}@anchor{16c6}
53446 @deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Simple_Name_Subtype (kind)
53448 @*Return type: 
53449 bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
53451 @end deffn
53453 @geindex Has_Protected_Type_Body() (in module pyGHDL.libghdl.vhdl.nodes_meta)
53454 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Protected_Type_Body}@anchor{16c7}
53455 @deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Protected_Type_Body (kind)
53457 @*Return type: 
53458 bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
53460 @end deffn
53462 @geindex Has_Protected_Type_Declaration() (in module pyGHDL.libghdl.vhdl.nodes_meta)
53463 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Protected_Type_Declaration}@anchor{16c8}
53464 @deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Protected_Type_Declaration (kind)
53466 @*Return type: 
53467 bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
53469 @end deffn
53471 @geindex Has_Use_Flag() (in module pyGHDL.libghdl.vhdl.nodes_meta)
53472 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Use_Flag}@anchor{16c9}
53473 @deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Use_Flag (kind)
53475 @*Return type: 
53476 bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
53478 @end deffn
53480 @geindex Has_End_Has_Reserved_Id() (in module pyGHDL.libghdl.vhdl.nodes_meta)
53481 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_End_Has_Reserved_Id}@anchor{16ca}
53482 @deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_End_Has_Reserved_Id (kind)
53484 @*Return type: 
53485 bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
53487 @end deffn
53489 @geindex Has_End_Has_Identifier() (in module pyGHDL.libghdl.vhdl.nodes_meta)
53490 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_End_Has_Identifier}@anchor{16cb}
53491 @deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_End_Has_Identifier (kind)
53493 @*Return type: 
53494 bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
53496 @end deffn
53498 @geindex Has_End_Has_Postponed() (in module pyGHDL.libghdl.vhdl.nodes_meta)
53499 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_End_Has_Postponed}@anchor{16cc}
53500 @deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_End_Has_Postponed (kind)
53502 @*Return type: 
53503 bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
53505 @end deffn
53507 @geindex Has_Has_Label() (in module pyGHDL.libghdl.vhdl.nodes_meta)
53508 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Has_Label}@anchor{16cd}
53509 @deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Has_Label (kind)
53511 @*Return type: 
53512 bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
53514 @end deffn
53516 @geindex Has_Has_Begin() (in module pyGHDL.libghdl.vhdl.nodes_meta)
53517 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Has_Begin}@anchor{16ce}
53518 @deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Has_Begin (kind)
53520 @*Return type: 
53521 bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
53523 @end deffn
53525 @geindex Has_Has_End() (in module pyGHDL.libghdl.vhdl.nodes_meta)
53526 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Has_End}@anchor{16cf}
53527 @deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Has_End (kind)
53529 @*Return type: 
53530 bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
53532 @end deffn
53534 @geindex Has_Has_Is() (in module pyGHDL.libghdl.vhdl.nodes_meta)
53535 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Has_Is}@anchor{16d0}
53536 @deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Has_Is (kind)
53538 @*Return type: 
53539 bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
53541 @end deffn
53543 @geindex Has_Has_Pure() (in module pyGHDL.libghdl.vhdl.nodes_meta)
53544 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Has_Pure}@anchor{16d1}
53545 @deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Has_Pure (kind)
53547 @*Return type: 
53548 bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
53550 @end deffn
53552 @geindex Has_Has_Body() (in module pyGHDL.libghdl.vhdl.nodes_meta)
53553 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Has_Body}@anchor{16d2}
53554 @deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Has_Body (kind)
53556 @*Return type: 
53557 bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
53559 @end deffn
53561 @geindex Has_Has_Parameter() (in module pyGHDL.libghdl.vhdl.nodes_meta)
53562 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Has_Parameter}@anchor{16d3}
53563 @deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Has_Parameter (kind)
53565 @*Return type: 
53566 bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
53568 @end deffn
53570 @geindex Has_Has_Component() (in module pyGHDL.libghdl.vhdl.nodes_meta)
53571 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Has_Component}@anchor{16d4}
53572 @deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Has_Component (kind)
53574 @*Return type: 
53575 bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
53577 @end deffn
53579 @geindex Has_Has_Identifier_List() (in module pyGHDL.libghdl.vhdl.nodes_meta)
53580 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Has_Identifier_List}@anchor{16d5}
53581 @deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Has_Identifier_List (kind)
53583 @*Return type: 
53584 bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
53586 @end deffn
53588 @geindex Has_Has_Mode() (in module pyGHDL.libghdl.vhdl.nodes_meta)
53589 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Has_Mode}@anchor{16d6}
53590 @deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Has_Mode (kind)
53592 @*Return type: 
53593 bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
53595 @end deffn
53597 @geindex Has_Has_Class() (in module pyGHDL.libghdl.vhdl.nodes_meta)
53598 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Has_Class}@anchor{16d7}
53599 @deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Has_Class (kind)
53601 @*Return type: 
53602 bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
53604 @end deffn
53606 @geindex Has_Has_Delay_Mechanism() (in module pyGHDL.libghdl.vhdl.nodes_meta)
53607 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Has_Delay_Mechanism}@anchor{16d8}
53608 @deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Has_Delay_Mechanism (kind)
53610 @*Return type: 
53611 bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
53613 @end deffn
53615 @geindex Has_Suspend_Flag() (in module pyGHDL.libghdl.vhdl.nodes_meta)
53616 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Suspend_Flag}@anchor{16d9}
53617 @deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Suspend_Flag (kind)
53619 @*Return type: 
53620 bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
53622 @end deffn
53624 @geindex Has_Is_Ref() (in module pyGHDL.libghdl.vhdl.nodes_meta)
53625 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Is_Ref}@anchor{16da}
53626 @deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Is_Ref (kind)
53628 @*Return type: 
53629 bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
53631 @end deffn
53633 @geindex Has_Is_Forward_Ref() (in module pyGHDL.libghdl.vhdl.nodes_meta)
53634 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Is_Forward_Ref}@anchor{16db}
53635 @deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Is_Forward_Ref (kind)
53637 @*Return type: 
53638 bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
53640 @end deffn
53642 @geindex Has_Psl_Property() (in module pyGHDL.libghdl.vhdl.nodes_meta)
53643 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Psl_Property}@anchor{16dc}
53644 @deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Psl_Property (kind)
53646 @*Return type: 
53647 bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
53649 @end deffn
53651 @geindex Has_Psl_Sequence() (in module pyGHDL.libghdl.vhdl.nodes_meta)
53652 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Psl_Sequence}@anchor{16dd}
53653 @deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Psl_Sequence (kind)
53655 @*Return type: 
53656 bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
53658 @end deffn
53660 @geindex Has_Psl_Declaration() (in module pyGHDL.libghdl.vhdl.nodes_meta)
53661 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Psl_Declaration}@anchor{16de}
53662 @deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Psl_Declaration (kind)
53664 @*Return type: 
53665 bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
53667 @end deffn
53669 @geindex Has_Psl_Expression() (in module pyGHDL.libghdl.vhdl.nodes_meta)
53670 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Psl_Expression}@anchor{16df}
53671 @deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Psl_Expression (kind)
53673 @*Return type: 
53674 bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
53676 @end deffn
53678 @geindex Has_Psl_Boolean() (in module pyGHDL.libghdl.vhdl.nodes_meta)
53679 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Psl_Boolean}@anchor{16e0}
53680 @deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Psl_Boolean (kind)
53682 @*Return type: 
53683 bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
53685 @end deffn
53687 @geindex Has_PSL_Clock() (in module pyGHDL.libghdl.vhdl.nodes_meta)
53688 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_PSL_Clock}@anchor{16e1}
53689 @deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_PSL_Clock (kind)
53691 @*Return type: 
53692 bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
53694 @end deffn
53696 @geindex Has_PSL_NFA() (in module pyGHDL.libghdl.vhdl.nodes_meta)
53697 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_PSL_NFA}@anchor{16e2}
53698 @deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_PSL_NFA (kind)
53700 @*Return type: 
53701 bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
53703 @end deffn
53705 @geindex Has_PSL_Nbr_States() (in module pyGHDL.libghdl.vhdl.nodes_meta)
53706 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_PSL_Nbr_States}@anchor{16e3}
53707 @deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_PSL_Nbr_States (kind)
53709 @*Return type: 
53710 bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
53712 @end deffn
53714 @geindex Has_PSL_Clock_Sensitivity() (in module pyGHDL.libghdl.vhdl.nodes_meta)
53715 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_PSL_Clock_Sensitivity}@anchor{16e4}
53716 @deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_PSL_Clock_Sensitivity (kind)
53718 @*Return type: 
53719 bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
53721 @end deffn
53723 @geindex Has_PSL_EOS_Flag() (in module pyGHDL.libghdl.vhdl.nodes_meta)
53724 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_PSL_EOS_Flag}@anchor{16e5}
53725 @deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_PSL_EOS_Flag (kind)
53727 @*Return type: 
53728 bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
53730 @end deffn
53732 @geindex Has_PSL_Abort_Flag() (in module pyGHDL.libghdl.vhdl.nodes_meta)
53733 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_PSL_Abort_Flag}@anchor{16e6}
53734 @deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_PSL_Abort_Flag (kind)
53736 @*Return type: 
53737 bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
53739 @end deffn
53741 @geindex Has_Count_Expression() (in module pyGHDL.libghdl.vhdl.nodes_meta)
53742 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Count_Expression}@anchor{16e7}
53743 @deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Count_Expression (kind)
53745 @*Return type: 
53746 bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
53748 @end deffn
53750 @geindex Has_Clock_Expression() (in module pyGHDL.libghdl.vhdl.nodes_meta)
53751 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Clock_Expression}@anchor{16e8}
53752 @deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Clock_Expression (kind)
53754 @*Return type: 
53755 bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
53757 @end deffn
53759 @geindex Has_Default_Clock() (in module pyGHDL.libghdl.vhdl.nodes_meta)
53760 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Default_Clock}@anchor{16e9}
53761 @deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Default_Clock (kind)
53763 @*Return type: 
53764 bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
53766 @end deffn
53768 @geindex Has_Foreign_Node() (in module pyGHDL.libghdl.vhdl.nodes_meta)
53769 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Foreign_Node}@anchor{16ea}
53770 @deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Foreign_Node (kind)
53772 @*Return type: 
53773 bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
53775 @end deffn
53777 @c # Load pre-defined aliases and graphical characters like © from docutils
53778 @c # <file> is used to denote the special path
53779 @c # <Python>\Lib\site-packages\docutils\parsers\rst\include
53781 @c This data file has been placed in the public domain.
53783 @c Derived from the Unicode character mappings available from
53784 @c <http://www.w3.org/2003/entities/xml/>.
53785 @c Processed by unicode2rstsubs.py, part of Docutils:
53786 @c <http://docutils.sourceforge.net>.
53788 @c This data file has been placed in the public domain.
53790 @c Derived from the Unicode character mappings available from
53791 @c <http://www.w3.org/2003/entities/xml/>.
53792 @c Processed by unicode2rstsubs.py, part of Docutils:
53793 @c <http://docutils.sourceforge.net>.
53795 @c # define a hard line break for HTML
53797 @node pyGHDL libghdl vhdl nodes_utils,pyGHDL libghdl vhdl parse,pyGHDL libghdl vhdl nodes_meta,pyGHDL libghdl vhdl
53798 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_utils doc}@anchor{1889}@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_utils module-pyGHDL libghdl vhdl nodes_utils}@anchor{31}@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_utils pyghdl-libghdl-vhdl-nodes-utils}@anchor{188a}
53799 @subsubsection pyGHDL.libghdl.vhdl.nodes_utils
53802 @geindex module; pyGHDL.libghdl.vhdl.nodes_utils
53804 @c #-----------------------------------
53806 @strong{Functions}
53809 @itemize -
53811 @item 
53812 @ref{188b,,Strip_Denoting_Name()}:
53813 If @code{Name} is a simple or an expanded name, return the denoted declaration.
53815 @item 
53816 @ref{188c,,Get_Entity()}:
53817 This is a wrapper around @code{Get_Entity_Name} to return the entity declaration
53819 @item 
53820 @ref{188d,,Is_Second_Subprogram_Specification()}:
53821 Check if @code{Spec} is the subprogram specification of a subprogram body
53823 @item 
53824 @ref{188e,,Get_Entity_From_Entity_Aspect()}:
53825 Extract the entity from @code{Aspect}.
53827 @item 
53828 @ref{188f,,Get_Interface_Of_Formal()}:
53829 Get the interface corresponding to the formal name @code{Formal}. This is
53830 @end itemize
53832 @c #-----------------------------------
53834 @strong{Functions}
53836 @geindex Strip_Denoting_Name() (in module pyGHDL.libghdl.vhdl.nodes_utils)
53837 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_utils pyGHDL libghdl vhdl nodes_utils Strip_Denoting_Name}@anchor{188b}
53838 @deffn {Function} pyGHDL.libghdl.vhdl.nodes_utils.Strip_Denoting_Name (Name)
53840 If @code{Name} is a simple or an expanded name, return the denoted declaration.
53841 Otherwise, return @code{Name}.
53843 @*Parameters: 
53844 @code{Name} (TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})) – Simple or an expanded name.
53847 @*Return type: 
53848 TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
53851 @*Returns: 
53852 Denoted declaration.
53854 @end deffn
53856 @geindex Get_Entity() (in module pyGHDL.libghdl.vhdl.nodes_utils)
53857 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_utils pyGHDL libghdl vhdl nodes_utils Get_Entity}@anchor{188c}
53858 @deffn {Function} pyGHDL.libghdl.vhdl.nodes_utils.Get_Entity (Decl)
53860 This is a wrapper around @code{Get_Entity_Name} to return the entity declaration
53861 of the entity name of @code{Decl}, or @code{Null_Iir} in case of error.
53863 @*Parameters: 
53864 @code{Decl} (TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})) – Declaration
53867 @*Return type: 
53868 TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
53871 @*Returns: 
53872 Entity
53874 @end deffn
53876 @geindex Is_Second_Subprogram_Specification() (in module pyGHDL.libghdl.vhdl.nodes_utils)
53877 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_utils pyGHDL libghdl vhdl nodes_utils Is_Second_Subprogram_Specification}@anchor{188d}
53878 @deffn {Function} pyGHDL.libghdl.vhdl.nodes_utils.Is_Second_Subprogram_Specification (Spec)
53880 Check if @code{Spec} is the subprogram specification of a subprogram body
53881 which was previously declared. In that case, the only use of @code{Spec}
53882 is to match the body with its declaration.
53884 @*Parameters: 
53885 @code{Spec} (TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})) – Specification
53888 @*Return type: 
53889 bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
53892 @*Returns: 
53893 @code{True} if subprogram specification and previously declared subprogram body match
53895 @end deffn
53897 @geindex Get_Entity_From_Entity_Aspect() (in module pyGHDL.libghdl.vhdl.nodes_utils)
53898 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_utils pyGHDL libghdl vhdl nodes_utils Get_Entity_From_Entity_Aspect}@anchor{188e}
53899 @deffn {Function} pyGHDL.libghdl.vhdl.nodes_utils.Get_Entity_From_Entity_Aspect (Aspect)
53901 Extract the entity from @code{Aspect}.
53903 If @code{Aspect} is a component declaration, return @code{Aspect}. If it’s
53904 open, return @code{Null_Iir}
53906 @*Parameters: 
53907 @code{Aspect} (TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})) – Aspect
53910 @*Return type: 
53911 TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
53914 @*Returns: 
53915 Entity
53917 @end deffn
53919 @geindex Get_Interface_Of_Formal() (in module pyGHDL.libghdl.vhdl.nodes_utils)
53920 @anchor{pyGHDL/pyGHDL libghdl vhdl nodes_utils pyGHDL libghdl vhdl nodes_utils Get_Interface_Of_Formal}@anchor{188f}
53921 @deffn {Function} pyGHDL.libghdl.vhdl.nodes_utils.Get_Interface_Of_Formal (Formal)
53923 Get the interface corresponding to the formal name @code{Formal}. This is
53924 always an interface, even if the formal is a name.
53926 @*Parameters: 
53927 @code{Formal} (TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})) – The formal.
53930 @*Return type: 
53931 TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
53934 @*Returns: 
53935 The corresponding interface.
53937 @end deffn
53939 @c # Load pre-defined aliases and graphical characters like © from docutils
53940 @c # <file> is used to denote the special path
53941 @c # <Python>\Lib\site-packages\docutils\parsers\rst\include
53943 @c This data file has been placed in the public domain.
53945 @c Derived from the Unicode character mappings available from
53946 @c <http://www.w3.org/2003/entities/xml/>.
53947 @c Processed by unicode2rstsubs.py, part of Docutils:
53948 @c <http://docutils.sourceforge.net>.
53950 @c This data file has been placed in the public domain.
53952 @c Derived from the Unicode character mappings available from
53953 @c <http://www.w3.org/2003/entities/xml/>.
53954 @c Processed by unicode2rstsubs.py, part of Docutils:
53955 @c <http://docutils.sourceforge.net>.
53957 @c # define a hard line break for HTML
53959 @node pyGHDL libghdl vhdl parse,pyGHDL libghdl vhdl sem,pyGHDL libghdl vhdl nodes_utils,pyGHDL libghdl vhdl
53960 @anchor{pyGHDL/pyGHDL libghdl vhdl parse doc}@anchor{1890}@anchor{pyGHDL/pyGHDL libghdl vhdl parse module-pyGHDL libghdl vhdl parse}@anchor{32}@anchor{pyGHDL/pyGHDL libghdl vhdl parse pyghdl-libghdl-vhdl-parse}@anchor{1891}
53961 @subsubsection pyGHDL.libghdl.vhdl.parse
53964 @geindex module; pyGHDL.libghdl.vhdl.parse
53966 @c #-----------------------------------
53968 @strong{Variables}
53971 @itemize -
53973 @item 
53974 @ref{1892,,Flag_Parse_Parenthesis}
53975 @end itemize
53977 @strong{Functions}
53980 @itemize -
53982 @item 
53983 @ref{1893,,Parse_Design_File()}:
53984 Parse a file.
53985 @end itemize
53987 @geindex Flag_Parse_Parenthesis (in module pyGHDL.libghdl.vhdl.parse)
53988 @anchor{pyGHDL/pyGHDL libghdl vhdl parse pyGHDL libghdl vhdl parse Flag_Parse_Parenthesis}@anchor{1892}
53989 @deffn {Data} pyGHDL.libghdl.vhdl.parse.Flag_Parse_Parenthesis
53991 @example
53992 c_bool(False)
53993 @end example
53994 @end deffn
53996 @c #-----------------------------------
53998 @strong{Functions}
54000 @geindex Parse_Design_File() (in module pyGHDL.libghdl.vhdl.parse)
54001 @anchor{pyGHDL/pyGHDL libghdl vhdl parse pyGHDL libghdl vhdl parse Parse_Design_File}@anchor{1893}
54002 @deffn {Function} pyGHDL.libghdl.vhdl.parse.Parse_Design_File ()
54004 Parse a file.
54006 ..note:: The scanner must have been initialized as for parse_design_unit.
54008 @*Return type: 
54009 TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
54012 @*Returns: 
54013 Return @code{Null_Iir} in case of error. Type: @code{Iir_Design_File}
54015 @end deffn
54017 @c # Load pre-defined aliases and graphical characters like © from docutils
54018 @c # <file> is used to denote the special path
54019 @c # <Python>\Lib\site-packages\docutils\parsers\rst\include
54021 @c This data file has been placed in the public domain.
54023 @c Derived from the Unicode character mappings available from
54024 @c <http://www.w3.org/2003/entities/xml/>.
54025 @c Processed by unicode2rstsubs.py, part of Docutils:
54026 @c <http://docutils.sourceforge.net>.
54028 @c This data file has been placed in the public domain.
54030 @c Derived from the Unicode character mappings available from
54031 @c <http://www.w3.org/2003/entities/xml/>.
54032 @c Processed by unicode2rstsubs.py, part of Docutils:
54033 @c <http://docutils.sourceforge.net>.
54035 @c # define a hard line break for HTML
54037 @node pyGHDL libghdl vhdl sem,pyGHDL libghdl vhdl sem_lib,pyGHDL libghdl vhdl parse,pyGHDL libghdl vhdl
54038 @anchor{pyGHDL/pyGHDL libghdl vhdl sem doc}@anchor{1894}@anchor{pyGHDL/pyGHDL libghdl vhdl sem module-pyGHDL libghdl vhdl sem}@anchor{33}@anchor{pyGHDL/pyGHDL libghdl vhdl sem pyghdl-libghdl-vhdl-sem}@anchor{1895}
54039 @subsubsection pyGHDL.libghdl.vhdl.sem
54042 @geindex module; pyGHDL.libghdl.vhdl.sem
54044 @c #-----------------------------------
54046 @strong{Functions}
54049 @itemize -
54051 @item 
54052 @ref{1896,,Semantic()}:
54053 Do the semantic analysis of design unit @code{DesignUnit}.
54054 @end itemize
54056 @c #-----------------------------------
54058 @strong{Functions}
54060 @geindex Semantic() (in module pyGHDL.libghdl.vhdl.sem)
54061 @anchor{pyGHDL/pyGHDL libghdl vhdl sem pyGHDL libghdl vhdl sem Semantic}@anchor{1896}
54062 @deffn {Function} pyGHDL.libghdl.vhdl.sem.Semantic (DesignUnit)
54064 Do the semantic analysis of design unit @code{DesignUnit}.
54066 Also add a few node or change some nodes, when for example an identifier is
54067 changed into an access to the type.
54069 @*Parameters: 
54070 @code{DesignUnit} (TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir_Design_Unit}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})) – Design unit to semantically analyze. Type: @code{Iir_Design_Unit}
54073 @*Return type: 
54074 None@footnote{https://docs.python.org/3.6/library/constants.html#None}
54076 @end deffn
54078 @c # Load pre-defined aliases and graphical characters like © from docutils
54079 @c # <file> is used to denote the special path
54080 @c # <Python>\Lib\site-packages\docutils\parsers\rst\include
54082 @c This data file has been placed in the public domain.
54084 @c Derived from the Unicode character mappings available from
54085 @c <http://www.w3.org/2003/entities/xml/>.
54086 @c Processed by unicode2rstsubs.py, part of Docutils:
54087 @c <http://docutils.sourceforge.net>.
54089 @c This data file has been placed in the public domain.
54091 @c Derived from the Unicode character mappings available from
54092 @c <http://www.w3.org/2003/entities/xml/>.
54093 @c Processed by unicode2rstsubs.py, part of Docutils:
54094 @c <http://docutils.sourceforge.net>.
54096 @c # define a hard line break for HTML
54098 @node pyGHDL libghdl vhdl sem_lib,pyGHDL libghdl vhdl std_package,pyGHDL libghdl vhdl sem,pyGHDL libghdl vhdl
54099 @anchor{pyGHDL/pyGHDL libghdl vhdl sem_lib doc}@anchor{1897}@anchor{pyGHDL/pyGHDL libghdl vhdl sem_lib module-pyGHDL libghdl vhdl sem_lib}@anchor{34}@anchor{pyGHDL/pyGHDL libghdl vhdl sem_lib pyghdl-libghdl-vhdl-sem-lib}@anchor{1898}
54100 @subsubsection pyGHDL.libghdl.vhdl.sem_lib
54103 @geindex module; pyGHDL.libghdl.vhdl.sem_lib
54105 @c #-----------------------------------
54107 @strong{Functions}
54110 @itemize -
54112 @item 
54113 @ref{1899,,Load_File()}:
54114 Start to analyse a file (i.e. load and parse it).
54116 @item 
54117 @ref{189a,,Finish_Compilation()}:
54118 Analyze @code{Unit}.
54120 @item 
54121 @ref{189b,,Free_Dependence_List()}:
54122 Free the dependence list of @code{Design}.
54123 @end itemize
54125 @c #-----------------------------------
54127 @strong{Functions}
54129 @geindex Load_File() (in module pyGHDL.libghdl.vhdl.sem_lib)
54130 @anchor{pyGHDL/pyGHDL libghdl vhdl sem_lib pyGHDL libghdl vhdl sem_lib Load_File}@anchor{1899}
54131 @deffn {Function} pyGHDL.libghdl.vhdl.sem_lib.Load_File (File)
54133 Start to analyse a file (i.e. load and parse it).
54135 @*Parameters: 
54136 @code{File} (TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{SourceFileEntry}, bound= c_uint@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_uint})) – File to analyse.
54139 @*Return type: 
54140 TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir_Design_File}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
54143 @*Returns: 
54144 Return @code{Null_Iir} in case of parse error. Type: @code{Iir_Design_File}
54146 @end deffn
54148 @geindex Finish_Compilation() (in module pyGHDL.libghdl.vhdl.sem_lib)
54149 @anchor{pyGHDL/pyGHDL libghdl vhdl sem_lib pyGHDL libghdl vhdl sem_lib Finish_Compilation}@anchor{189a}
54150 @deffn {Function} pyGHDL.libghdl.vhdl.sem_lib.Finish_Compilation (Unit, Main=False)
54152 Analyze @code{Unit}.
54154 @*Parameters: 
54156 @itemize *
54158 @item 
54159 @code{Unit} (TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir_Design_Unit}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})) – Design unit to analyze.
54161 @item 
54162 @code{Main} (bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}) – Is main unit.
54163 @end itemize
54166 @*Return type: 
54167 None@footnote{https://docs.python.org/3.6/library/constants.html#None}
54169 @end deffn
54171 @geindex Free_Dependence_List() (in module pyGHDL.libghdl.vhdl.sem_lib)
54172 @anchor{pyGHDL/pyGHDL libghdl vhdl sem_lib pyGHDL libghdl vhdl sem_lib Free_Dependence_List}@anchor{189b}
54173 @deffn {Function} pyGHDL.libghdl.vhdl.sem_lib.Free_Dependence_List (Design)
54175 Free the dependence list of @code{Design}.
54177 @*Parameters: 
54178 @code{Design} (TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir_Design_Unit}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})) – Design unit to free dependencies for.
54181 @*Return type: 
54182 None@footnote{https://docs.python.org/3.6/library/constants.html#None}
54184 @end deffn
54186 @c # Load pre-defined aliases and graphical characters like © from docutils
54187 @c # <file> is used to denote the special path
54188 @c # <Python>\Lib\site-packages\docutils\parsers\rst\include
54190 @c This data file has been placed in the public domain.
54192 @c Derived from the Unicode character mappings available from
54193 @c <http://www.w3.org/2003/entities/xml/>.
54194 @c Processed by unicode2rstsubs.py, part of Docutils:
54195 @c <http://docutils.sourceforge.net>.
54197 @c This data file has been placed in the public domain.
54199 @c Derived from the Unicode character mappings available from
54200 @c <http://www.w3.org/2003/entities/xml/>.
54201 @c Processed by unicode2rstsubs.py, part of Docutils:
54202 @c <http://docutils.sourceforge.net>.
54204 @c # define a hard line break for HTML
54206 @node pyGHDL libghdl vhdl std_package,pyGHDL libghdl vhdl tokens,pyGHDL libghdl vhdl sem_lib,pyGHDL libghdl vhdl
54207 @anchor{pyGHDL/pyGHDL libghdl vhdl std_package doc}@anchor{189c}@anchor{pyGHDL/pyGHDL libghdl vhdl std_package module-pyGHDL libghdl vhdl std_package}@anchor{35}@anchor{pyGHDL/pyGHDL libghdl vhdl std_package pyghdl-libghdl-vhdl-std-package}@anchor{189d}
54208 @subsubsection pyGHDL.libghdl.vhdl.std_package
54211 @geindex module; pyGHDL.libghdl.vhdl.std_package
54213 @c #-----------------------------------
54215 @strong{Variables}
54218 @itemize -
54220 @item 
54221 @ref{189e,,Std_Location}
54223 @item 
54224 @ref{189f,,Standard_Package}
54226 @item 
54227 @ref{18a0,,Character_Type_Definition}
54228 @end itemize
54230 @geindex Std_Location (in module pyGHDL.libghdl.vhdl.std_package)
54231 @anchor{pyGHDL/pyGHDL libghdl vhdl std_package pyGHDL libghdl vhdl std_package Std_Location}@anchor{189e}
54232 @deffn {Data} pyGHDL.libghdl.vhdl.std_package.Std_Location
54234 Virtual location for the @code{std.standard} package. Use @code{.value} to access this variable inside libghdl.
54236 @example
54237 c_int(0)
54238 @end example
54239 @end deffn
54241 @geindex Standard_Package (in module pyGHDL.libghdl.vhdl.std_package)
54242 @anchor{pyGHDL/pyGHDL libghdl vhdl std_package pyGHDL libghdl vhdl std_package Standard_Package}@anchor{189f}
54243 @deffn {Data} pyGHDL.libghdl.vhdl.std_package.Standard_Package
54245 Virtual package @code{std.package}. Use @code{.value} to access this variable inside libghdl.
54247 @example
54248 c_int(0)
54249 @end example
54250 @end deffn
54252 @geindex Character_Type_Definition (in module pyGHDL.libghdl.vhdl.std_package)
54253 @anchor{pyGHDL/pyGHDL libghdl vhdl std_package pyGHDL libghdl vhdl std_package Character_Type_Definition}@anchor{18a0}
54254 @deffn {Data} pyGHDL.libghdl.vhdl.std_package.Character_Type_Definition
54256 Predefined character. Use @code{.value} to access this variable inside libghdl.
54258 @example
54259 c_int(0)
54260 @end example
54261 @end deffn
54263 @c # Load pre-defined aliases and graphical characters like © from docutils
54264 @c # <file> is used to denote the special path
54265 @c # <Python>\Lib\site-packages\docutils\parsers\rst\include
54267 @c This data file has been placed in the public domain.
54269 @c Derived from the Unicode character mappings available from
54270 @c <http://www.w3.org/2003/entities/xml/>.
54271 @c Processed by unicode2rstsubs.py, part of Docutils:
54272 @c <http://docutils.sourceforge.net>.
54274 @c This data file has been placed in the public domain.
54276 @c Derived from the Unicode character mappings available from
54277 @c <http://www.w3.org/2003/entities/xml/>.
54278 @c Processed by unicode2rstsubs.py, part of Docutils:
54279 @c <http://docutils.sourceforge.net>.
54281 @c # define a hard line break for HTML
54283 @node pyGHDL libghdl vhdl tokens,pyGHDL libghdl vhdl utils,pyGHDL libghdl vhdl std_package,pyGHDL libghdl vhdl
54284 @anchor{pyGHDL/pyGHDL libghdl vhdl tokens doc}@anchor{18a1}@anchor{pyGHDL/pyGHDL libghdl vhdl tokens module-pyGHDL libghdl vhdl tokens}@anchor{36}@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyghdl-libghdl-vhdl-tokens}@anchor{18a2}
54285 @subsubsection pyGHDL.libghdl.vhdl.tokens
54288 @geindex module; pyGHDL.libghdl.vhdl.tokens
54290 @c #-----------------------------------
54292 @strong{Classes}
54295 @itemize -
54297 @item 
54298 @ref{156c,,Tok}:
54299 An enumeration.
54300 @end itemize
54302 @c #-----------------------------------
54304 @geindex Tok (class in pyGHDL.libghdl.vhdl.tokens)
54305 @anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok}@anchor{156c}
54306 @deffn {Class} pyGHDL.libghdl.vhdl.tokens.Tok (value)
54308 An enumeration.
54310 @subsubheading Inheritance
54312 @image{inheritance-0d5efe97cd2aa50e9d9f72279948c41c24dd4d21,,,[graphviz],png}
54314 @subsubheading Members
54317 @geindex Invalid (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
54318 @anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Invalid}@anchor{18a3}
54319 @deffn {Attribute} Invalid  =  0
54320 @end deffn
54322 @geindex Eof (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
54323 @anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Eof}@anchor{18a4}
54324 @deffn {Attribute} Eof  =  1
54325 @end deffn
54327 @geindex Newline (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
54328 @anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Newline}@anchor{18a5}
54329 @deffn {Attribute} Newline  =  2
54330 @end deffn
54332 @geindex Block_Comment_Start (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
54333 @anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Block_Comment_Start}@anchor{18a6}
54334 @deffn {Attribute} Block_Comment_Start  =  3
54335 @end deffn
54337 @geindex Block_Comment_End (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
54338 @anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Block_Comment_End}@anchor{18a7}
54339 @deffn {Attribute} Block_Comment_End  =  4
54340 @end deffn
54342 @geindex Block_Comment_Text (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
54343 @anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Block_Comment_Text}@anchor{18a8}
54344 @deffn {Attribute} Block_Comment_Text  =  5
54345 @end deffn
54347 @geindex Line_Comment (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
54348 @anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Line_Comment}@anchor{18a9}
54349 @deffn {Attribute} Line_Comment  =  6
54350 @end deffn
54352 @geindex Character (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
54353 @anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Character}@anchor{18aa}
54354 @deffn {Attribute} Character  =  7
54355 @end deffn
54357 @geindex Identifier (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
54358 @anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Identifier}@anchor{18ab}
54359 @deffn {Attribute} Identifier  =  8
54360 @end deffn
54362 @geindex Integer (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
54363 @anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Integer}@anchor{18ac}
54364 @deffn {Attribute} Integer  =  9
54365 @end deffn
54367 @geindex Real (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
54368 @anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Real}@anchor{18ad}
54369 @deffn {Attribute} Real  =  10
54370 @end deffn
54372 @geindex String (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
54373 @anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok String}@anchor{18ae}
54374 @deffn {Attribute} String  =  11
54375 @end deffn
54377 @geindex Bit_String (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
54378 @anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Bit_String}@anchor{18af}
54379 @deffn {Attribute} Bit_String  =  12
54380 @end deffn
54382 @geindex Integer_Letter (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
54383 @anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Integer_Letter}@anchor{18b0}
54384 @deffn {Attribute} Integer_Letter  =  13
54385 @end deffn
54387 @geindex Left_Paren (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
54388 @anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Left_Paren}@anchor{18b1}
54389 @deffn {Attribute} Left_Paren  =  14
54390 @end deffn
54392 @geindex Right_Paren (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
54393 @anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Right_Paren}@anchor{18b2}
54394 @deffn {Attribute} Right_Paren  =  15
54395 @end deffn
54397 @geindex Left_Bracket (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
54398 @anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Left_Bracket}@anchor{18b3}
54399 @deffn {Attribute} Left_Bracket  =  16
54400 @end deffn
54402 @geindex Right_Bracket (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
54403 @anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Right_Bracket}@anchor{18b4}
54404 @deffn {Attribute} Right_Bracket  =  17
54405 @end deffn
54407 @geindex Colon (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
54408 @anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Colon}@anchor{18b5}
54409 @deffn {Attribute} Colon  =  18
54410 @end deffn
54412 @geindex Semi_Colon (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
54413 @anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Semi_Colon}@anchor{18b6}
54414 @deffn {Attribute} Semi_Colon  =  19
54415 @end deffn
54417 @geindex Comma (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
54418 @anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Comma}@anchor{18b7}
54419 @deffn {Attribute} Comma  =  20
54420 @end deffn
54422 @geindex Double_Arrow (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
54423 @anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Double_Arrow}@anchor{18b8}
54424 @deffn {Attribute} Double_Arrow  =  21
54425 @end deffn
54427 @geindex Tick (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
54428 @anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Tick}@anchor{18b9}
54429 @deffn {Attribute} Tick  =  22
54430 @end deffn
54432 @geindex Double_Star (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
54433 @anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Double_Star}@anchor{18ba}
54434 @deffn {Attribute} Double_Star  =  23
54435 @end deffn
54437 @geindex Assign (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
54438 @anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Assign}@anchor{18bb}
54439 @deffn {Attribute} Assign  =  24
54440 @end deffn
54442 @geindex Bar (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
54443 @anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Bar}@anchor{18bc}
54444 @deffn {Attribute} Bar  =  25
54445 @end deffn
54447 @geindex Box (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
54448 @anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Box}@anchor{18bd}
54449 @deffn {Attribute} Box  =  26
54450 @end deffn
54452 @geindex Dot (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
54453 @anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Dot}@anchor{18be}
54454 @deffn {Attribute} Dot  =  27
54455 @end deffn
54457 @geindex Equal_Equal (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
54458 @anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Equal_Equal}@anchor{18bf}
54459 @deffn {Attribute} Equal_Equal  =  28
54460 @end deffn
54462 @geindex Equal (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
54463 @anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Equal}@anchor{18c0}
54464 @deffn {Attribute} Equal  =  29
54465 @end deffn
54467 @geindex Not_Equal (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
54468 @anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Not_Equal}@anchor{18c1}
54469 @deffn {Attribute} Not_Equal  =  30
54470 @end deffn
54472 @geindex Less (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
54473 @anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Less}@anchor{18c2}
54474 @deffn {Attribute} Less  =  31
54475 @end deffn
54477 @geindex Less_Equal (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
54478 @anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Less_Equal}@anchor{18c3}
54479 @deffn {Attribute} Less_Equal  =  32
54480 @end deffn
54482 @geindex Greater (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
54483 @anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Greater}@anchor{18c4}
54484 @deffn {Attribute} Greater  =  33
54485 @end deffn
54487 @geindex Greater_Equal (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
54488 @anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Greater_Equal}@anchor{18c5}
54489 @deffn {Attribute} Greater_Equal  =  34
54490 @end deffn
54492 @geindex Match_Equal (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
54493 @anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Match_Equal}@anchor{18c6}
54494 @deffn {Attribute} Match_Equal  =  35
54495 @end deffn
54497 @geindex Match_Not_Equal (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
54498 @anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Match_Not_Equal}@anchor{18c7}
54499 @deffn {Attribute} Match_Not_Equal  =  36
54500 @end deffn
54502 @geindex Match_Less (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
54503 @anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Match_Less}@anchor{18c8}
54504 @deffn {Attribute} Match_Less  =  37
54505 @end deffn
54507 @geindex Match_Less_Equal (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
54508 @anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Match_Less_Equal}@anchor{18c9}
54509 @deffn {Attribute} Match_Less_Equal  =  38
54510 @end deffn
54512 @geindex Match_Greater (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
54513 @anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Match_Greater}@anchor{18ca}
54514 @deffn {Attribute} Match_Greater  =  39
54515 @end deffn
54517 @geindex Match_Greater_Equal (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
54518 @anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Match_Greater_Equal}@anchor{18cb}
54519 @deffn {Attribute} Match_Greater_Equal  =  40
54520 @end deffn
54522 @geindex Plus (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
54523 @anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Plus}@anchor{18cc}
54524 @deffn {Attribute} Plus  =  41
54525 @end deffn
54527 @geindex Minus (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
54528 @anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Minus}@anchor{18cd}
54529 @deffn {Attribute} Minus  =  42
54530 @end deffn
54532 @geindex Ampersand (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
54533 @anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Ampersand}@anchor{18ce}
54534 @deffn {Attribute} Ampersand  =  43
54535 @end deffn
54537 @geindex Question_Mark (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
54538 @anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Question_Mark}@anchor{18cf}
54539 @deffn {Attribute} Question_Mark  =  44
54540 @end deffn
54542 @geindex Condition (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
54543 @anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Condition}@anchor{18d0}
54544 @deffn {Attribute} Condition  =  45
54545 @end deffn
54547 @geindex Double_Less (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
54548 @anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Double_Less}@anchor{18d1}
54549 @deffn {Attribute} Double_Less  =  46
54550 @end deffn
54552 @geindex Double_Greater (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
54553 @anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Double_Greater}@anchor{18d2}
54554 @deffn {Attribute} Double_Greater  =  47
54555 @end deffn
54557 @geindex Caret (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
54558 @anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Caret}@anchor{18d3}
54559 @deffn {Attribute} Caret  =  48
54560 @end deffn
54562 @geindex And_And (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
54563 @anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok And_And}@anchor{18d4}
54564 @deffn {Attribute} And_And  =  49
54565 @end deffn
54567 @geindex Bar_Bar (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
54568 @anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Bar_Bar}@anchor{18d5}
54569 @deffn {Attribute} Bar_Bar  =  50
54570 @end deffn
54572 @geindex Left_Curly (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
54573 @anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Left_Curly}@anchor{18d6}
54574 @deffn {Attribute} Left_Curly  =  51
54575 @end deffn
54577 @geindex Right_Curly (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
54578 @anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Right_Curly}@anchor{18d7}
54579 @deffn {Attribute} Right_Curly  =  52
54580 @end deffn
54582 @geindex Exclam_Mark (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
54583 @anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Exclam_Mark}@anchor{18d8}
54584 @deffn {Attribute} Exclam_Mark  =  53
54585 @end deffn
54587 @geindex Brack_Star (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
54588 @anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Brack_Star}@anchor{18d9}
54589 @deffn {Attribute} Brack_Star  =  54
54590 @end deffn
54592 @geindex Brack_Plus_Brack (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
54593 @anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Brack_Plus_Brack}@anchor{18da}
54594 @deffn {Attribute} Brack_Plus_Brack  =  55
54595 @end deffn
54597 @geindex Brack_Arrow (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
54598 @anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Brack_Arrow}@anchor{18db}
54599 @deffn {Attribute} Brack_Arrow  =  56
54600 @end deffn
54602 @geindex Brack_Equal (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
54603 @anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Brack_Equal}@anchor{18dc}
54604 @deffn {Attribute} Brack_Equal  =  57
54605 @end deffn
54607 @geindex Bar_Arrow (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
54608 @anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Bar_Arrow}@anchor{18dd}
54609 @deffn {Attribute} Bar_Arrow  =  58
54610 @end deffn
54612 @geindex Bar_Double_Arrow (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
54613 @anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Bar_Double_Arrow}@anchor{18de}
54614 @deffn {Attribute} Bar_Double_Arrow  =  59
54615 @end deffn
54617 @geindex Minus_Greater (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
54618 @anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Minus_Greater}@anchor{18df}
54619 @deffn {Attribute} Minus_Greater  =  60
54620 @end deffn
54622 @geindex Equiv_Arrow (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
54623 @anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Equiv_Arrow}@anchor{18e0}
54624 @deffn {Attribute} Equiv_Arrow  =  61
54625 @end deffn
54627 @geindex Arobase (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
54628 @anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Arobase}@anchor{18e1}
54629 @deffn {Attribute} Arobase  =  62
54630 @end deffn
54632 @geindex Star (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
54633 @anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Star}@anchor{18e2}
54634 @deffn {Attribute} Star  =  63
54635 @end deffn
54637 @geindex Slash (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
54638 @anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Slash}@anchor{18e3}
54639 @deffn {Attribute} Slash  =  64
54640 @end deffn
54642 @geindex Mod (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
54643 @anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Mod}@anchor{18e4}
54644 @deffn {Attribute} Mod  =  65
54645 @end deffn
54647 @geindex Rem (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
54648 @anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Rem}@anchor{18e5}
54649 @deffn {Attribute} Rem  =  66
54650 @end deffn
54652 @geindex Abs (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
54653 @anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Abs}@anchor{18e6}
54654 @deffn {Attribute} Abs  =  67
54655 @end deffn
54657 @geindex Not (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
54658 @anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Not}@anchor{18e7}
54659 @deffn {Attribute} Not  =  68
54660 @end deffn
54662 @geindex Access (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
54663 @anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Access}@anchor{18e8}
54664 @deffn {Attribute} Access  =  69
54665 @end deffn
54667 @geindex After (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
54668 @anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok After}@anchor{18e9}
54669 @deffn {Attribute} After  =  70
54670 @end deffn
54672 @geindex Alias (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
54673 @anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Alias}@anchor{18ea}
54674 @deffn {Attribute} Alias  =  71
54675 @end deffn
54677 @geindex All (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
54678 @anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok All}@anchor{18eb}
54679 @deffn {Attribute} All  =  72
54680 @end deffn
54682 @geindex Architecture (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
54683 @anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Architecture}@anchor{18ec}
54684 @deffn {Attribute} Architecture  =  73
54685 @end deffn
54687 @geindex Array (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
54688 @anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Array}@anchor{18ed}
54689 @deffn {Attribute} Array  =  74
54690 @end deffn
54692 @geindex Assert (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
54693 @anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Assert}@anchor{18ee}
54694 @deffn {Attribute} Assert  =  75
54695 @end deffn
54697 @geindex Attribute (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
54698 @anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Attribute}@anchor{18ef}
54699 @deffn {Attribute} Attribute  =  76
54700 @end deffn
54702 @geindex Begin (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
54703 @anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Begin}@anchor{18f0}
54704 @deffn {Attribute} Begin  =  77
54705 @end deffn
54707 @geindex Block (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
54708 @anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Block}@anchor{18f1}
54709 @deffn {Attribute} Block  =  78
54710 @end deffn
54712 @geindex Body (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
54713 @anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Body}@anchor{18f2}
54714 @deffn {Attribute} Body  =  79
54715 @end deffn
54717 @geindex Buffer (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
54718 @anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Buffer}@anchor{18f3}
54719 @deffn {Attribute} Buffer  =  80
54720 @end deffn
54722 @geindex Bus (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
54723 @anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Bus}@anchor{18f4}
54724 @deffn {Attribute} Bus  =  81
54725 @end deffn
54727 @geindex Case (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
54728 @anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Case}@anchor{18f5}
54729 @deffn {Attribute} Case  =  82
54730 @end deffn
54732 @geindex Component (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
54733 @anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Component}@anchor{18f6}
54734 @deffn {Attribute} Component  =  83
54735 @end deffn
54737 @geindex Configuration (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
54738 @anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Configuration}@anchor{18f7}
54739 @deffn {Attribute} Configuration  =  84
54740 @end deffn
54742 @geindex Constant (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
54743 @anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Constant}@anchor{18f8}
54744 @deffn {Attribute} Constant  =  85
54745 @end deffn
54747 @geindex Disconnect (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
54748 @anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Disconnect}@anchor{18f9}
54749 @deffn {Attribute} Disconnect  =  86
54750 @end deffn
54752 @geindex Downto (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
54753 @anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Downto}@anchor{18fa}
54754 @deffn {Attribute} Downto  =  87
54755 @end deffn
54757 @geindex Else (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
54758 @anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Else}@anchor{18fb}
54759 @deffn {Attribute} Else  =  88
54760 @end deffn
54762 @geindex Elsif (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
54763 @anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Elsif}@anchor{18fc}
54764 @deffn {Attribute} Elsif  =  89
54765 @end deffn
54767 @geindex End (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
54768 @anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok End}@anchor{18fd}
54769 @deffn {Attribute} End  =  90
54770 @end deffn
54772 @geindex Entity (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
54773 @anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Entity}@anchor{18fe}
54774 @deffn {Attribute} Entity  =  91
54775 @end deffn
54777 @geindex Exit (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
54778 @anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Exit}@anchor{18ff}
54779 @deffn {Attribute} Exit  =  92
54780 @end deffn
54782 @geindex File (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
54783 @anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok File}@anchor{1900}
54784 @deffn {Attribute} File  =  93
54785 @end deffn
54787 @geindex For (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
54788 @anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok For}@anchor{1901}
54789 @deffn {Attribute} For  =  94
54790 @end deffn
54792 @geindex Function (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
54793 @anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Function}@anchor{1902}
54794 @deffn {Attribute} Function  =  95
54795 @end deffn
54797 @geindex Generate (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
54798 @anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Generate}@anchor{1903}
54799 @deffn {Attribute} Generate  =  96
54800 @end deffn
54802 @geindex Generic (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
54803 @anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Generic}@anchor{1904}
54804 @deffn {Attribute} Generic  =  97
54805 @end deffn
54807 @geindex Guarded (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
54808 @anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Guarded}@anchor{1905}
54809 @deffn {Attribute} Guarded  =  98
54810 @end deffn
54812 @geindex If (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
54813 @anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok If}@anchor{1906}
54814 @deffn {Attribute} If  =  99
54815 @end deffn
54817 @geindex In (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
54818 @anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok In}@anchor{1907}
54819 @deffn {Attribute} In  =  100
54820 @end deffn
54822 @geindex Inout (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
54823 @anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Inout}@anchor{1908}
54824 @deffn {Attribute} Inout  =  101
54825 @end deffn
54827 @geindex Is (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
54828 @anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Is}@anchor{1909}
54829 @deffn {Attribute} Is  =  102
54830 @end deffn
54832 @geindex Label (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
54833 @anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Label}@anchor{190a}
54834 @deffn {Attribute} Label  =  103
54835 @end deffn
54837 @geindex Library (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
54838 @anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Library}@anchor{190b}
54839 @deffn {Attribute} Library  =  104
54840 @end deffn
54842 @geindex Linkage (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
54843 @anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Linkage}@anchor{190c}
54844 @deffn {Attribute} Linkage  =  105
54845 @end deffn
54847 @geindex Loop (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
54848 @anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Loop}@anchor{190d}
54849 @deffn {Attribute} Loop  =  106
54850 @end deffn
54852 @geindex Map (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
54853 @anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Map}@anchor{190e}
54854 @deffn {Attribute} Map  =  107
54855 @end deffn
54857 @geindex New (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
54858 @anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok New}@anchor{190f}
54859 @deffn {Attribute} New  =  108
54860 @end deffn
54862 @geindex Next (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
54863 @anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Next}@anchor{1910}
54864 @deffn {Attribute} Next  =  109
54865 @end deffn
54867 @geindex Null (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
54868 @anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Null}@anchor{1911}
54869 @deffn {Attribute} Null  =  110
54870 @end deffn
54872 @geindex Of (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
54873 @anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Of}@anchor{1912}
54874 @deffn {Attribute} Of  =  111
54875 @end deffn
54877 @geindex On (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
54878 @anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok On}@anchor{1913}
54879 @deffn {Attribute} On  =  112
54880 @end deffn
54882 @geindex Open (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
54883 @anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Open}@anchor{1914}
54884 @deffn {Attribute} Open  =  113
54885 @end deffn
54887 @geindex Others (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
54888 @anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Others}@anchor{1915}
54889 @deffn {Attribute} Others  =  114
54890 @end deffn
54892 @geindex Out (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
54893 @anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Out}@anchor{1916}
54894 @deffn {Attribute} Out  =  115
54895 @end deffn
54897 @geindex Package (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
54898 @anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Package}@anchor{1917}
54899 @deffn {Attribute} Package  =  116
54900 @end deffn
54902 @geindex Port (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
54903 @anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Port}@anchor{1918}
54904 @deffn {Attribute} Port  =  117
54905 @end deffn
54907 @geindex Procedure (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
54908 @anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Procedure}@anchor{1919}
54909 @deffn {Attribute} Procedure  =  118
54910 @end deffn
54912 @geindex Process (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
54913 @anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Process}@anchor{191a}
54914 @deffn {Attribute} Process  =  119
54915 @end deffn
54917 @geindex Range (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
54918 @anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Range}@anchor{191b}
54919 @deffn {Attribute} Range  =  120
54920 @end deffn
54922 @geindex Record (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
54923 @anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Record}@anchor{191c}
54924 @deffn {Attribute} Record  =  121
54925 @end deffn
54927 @geindex Register (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
54928 @anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Register}@anchor{191d}
54929 @deffn {Attribute} Register  =  122
54930 @end deffn
54932 @geindex Report (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
54933 @anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Report}@anchor{191e}
54934 @deffn {Attribute} Report  =  123
54935 @end deffn
54937 @geindex Return (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
54938 @anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Return}@anchor{191f}
54939 @deffn {Attribute} Return  =  124
54940 @end deffn
54942 @geindex Select (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
54943 @anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Select}@anchor{1920}
54944 @deffn {Attribute} Select  =  125
54945 @end deffn
54947 @geindex Severity (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
54948 @anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Severity}@anchor{1921}
54949 @deffn {Attribute} Severity  =  126
54950 @end deffn
54952 @geindex Signal (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
54953 @anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Signal}@anchor{1922}
54954 @deffn {Attribute} Signal  =  127
54955 @end deffn
54957 @geindex Subtype (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
54958 @anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Subtype}@anchor{1923}
54959 @deffn {Attribute} Subtype  =  128
54960 @end deffn
54962 @geindex Then (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
54963 @anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Then}@anchor{1924}
54964 @deffn {Attribute} Then  =  129
54965 @end deffn
54967 @geindex To (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
54968 @anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok To}@anchor{1925}
54969 @deffn {Attribute} To  =  130
54970 @end deffn
54972 @geindex Transport (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
54973 @anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Transport}@anchor{1926}
54974 @deffn {Attribute} Transport  =  131
54975 @end deffn
54977 @geindex Type (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
54978 @anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Type}@anchor{1927}
54979 @deffn {Attribute} Type  =  132
54980 @end deffn
54982 @geindex Units (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
54983 @anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Units}@anchor{1928}
54984 @deffn {Attribute} Units  =  133
54985 @end deffn
54987 @geindex Until (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
54988 @anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Until}@anchor{1929}
54989 @deffn {Attribute} Until  =  134
54990 @end deffn
54992 @geindex Use (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
54993 @anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Use}@anchor{192a}
54994 @deffn {Attribute} Use  =  135
54995 @end deffn
54997 @geindex Variable (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
54998 @anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Variable}@anchor{192b}
54999 @deffn {Attribute} Variable  =  136
55000 @end deffn
55002 @geindex Wait (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
55003 @anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Wait}@anchor{192c}
55004 @deffn {Attribute} Wait  =  137
55005 @end deffn
55007 @geindex When (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
55008 @anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok When}@anchor{192d}
55009 @deffn {Attribute} When  =  138
55010 @end deffn
55012 @geindex While (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
55013 @anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok While}@anchor{192e}
55014 @deffn {Attribute} While  =  139
55015 @end deffn
55017 @geindex With (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
55018 @anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok With}@anchor{192f}
55019 @deffn {Attribute} With  =  140
55020 @end deffn
55022 @geindex And (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
55023 @anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok And}@anchor{1930}
55024 @deffn {Attribute} And  =  141
55025 @end deffn
55027 @geindex Or (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
55028 @anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Or}@anchor{1931}
55029 @deffn {Attribute} Or  =  142
55030 @end deffn
55032 @geindex Xor (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
55033 @anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Xor}@anchor{1932}
55034 @deffn {Attribute} Xor  =  143
55035 @end deffn
55037 @geindex Nand (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
55038 @anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Nand}@anchor{1933}
55039 @deffn {Attribute} Nand  =  144
55040 @end deffn
55042 @geindex Nor (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
55043 @anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Nor}@anchor{1934}
55044 @deffn {Attribute} Nor  =  145
55045 @end deffn
55047 @geindex Xnor (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
55048 @anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Xnor}@anchor{1935}
55049 @deffn {Attribute} Xnor  =  146
55050 @end deffn
55052 @geindex Group (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
55053 @anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Group}@anchor{1936}
55054 @deffn {Attribute} Group  =  147
55055 @end deffn
55057 @geindex Impure (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
55058 @anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Impure}@anchor{1937}
55059 @deffn {Attribute} Impure  =  148
55060 @end deffn
55062 @geindex Inertial (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
55063 @anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Inertial}@anchor{1938}
55064 @deffn {Attribute} Inertial  =  149
55065 @end deffn
55067 @geindex Literal (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
55068 @anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Literal}@anchor{1939}
55069 @deffn {Attribute} Literal  =  150
55070 @end deffn
55072 @geindex Postponed (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
55073 @anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Postponed}@anchor{193a}
55074 @deffn {Attribute} Postponed  =  151
55075 @end deffn
55077 @geindex Pure (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
55078 @anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Pure}@anchor{193b}
55079 @deffn {Attribute} Pure  =  152
55080 @end deffn
55082 @geindex Reject (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
55083 @anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Reject}@anchor{193c}
55084 @deffn {Attribute} Reject  =  153
55085 @end deffn
55087 @geindex Shared (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
55088 @anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Shared}@anchor{193d}
55089 @deffn {Attribute} Shared  =  154
55090 @end deffn
55092 @geindex Unaffected (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
55093 @anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Unaffected}@anchor{193e}
55094 @deffn {Attribute} Unaffected  =  155
55095 @end deffn
55097 @geindex Sll (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
55098 @anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Sll}@anchor{193f}
55099 @deffn {Attribute} Sll  =  156
55100 @end deffn
55102 @geindex Sla (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
55103 @anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Sla}@anchor{1940}
55104 @deffn {Attribute} Sla  =  157
55105 @end deffn
55107 @geindex Sra (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
55108 @anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Sra}@anchor{1941}
55109 @deffn {Attribute} Sra  =  158
55110 @end deffn
55112 @geindex Srl (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
55113 @anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Srl}@anchor{1942}
55114 @deffn {Attribute} Srl  =  159
55115 @end deffn
55117 @geindex Rol (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
55118 @anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Rol}@anchor{1943}
55119 @deffn {Attribute} Rol  =  160
55120 @end deffn
55122 @geindex Ror (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
55123 @anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Ror}@anchor{1944}
55124 @deffn {Attribute} Ror  =  161
55125 @end deffn
55127 @geindex Protected (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
55128 @anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Protected}@anchor{1945}
55129 @deffn {Attribute} Protected  =  162
55130 @end deffn
55132 @geindex Assume (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
55133 @anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Assume}@anchor{1946}
55134 @deffn {Attribute} Assume  =  163
55135 @end deffn
55137 @geindex Context (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
55138 @anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Context}@anchor{1947}
55139 @deffn {Attribute} Context  =  164
55140 @end deffn
55142 @geindex Cover (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
55143 @anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Cover}@anchor{1948}
55144 @deffn {Attribute} Cover  =  165
55145 @end deffn
55147 @geindex Default (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
55148 @anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Default}@anchor{1949}
55149 @deffn {Attribute} Default  =  166
55150 @end deffn
55152 @geindex Force (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
55153 @anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Force}@anchor{194a}
55154 @deffn {Attribute} Force  =  167
55155 @end deffn
55157 @geindex Parameter (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
55158 @anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Parameter}@anchor{194b}
55159 @deffn {Attribute} Parameter  =  168
55160 @end deffn
55162 @geindex Property (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
55163 @anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Property}@anchor{194c}
55164 @deffn {Attribute} Property  =  169
55165 @end deffn
55167 @geindex Release (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
55168 @anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Release}@anchor{194d}
55169 @deffn {Attribute} Release  =  170
55170 @end deffn
55172 @geindex Restrict (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
55173 @anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Restrict}@anchor{194e}
55174 @deffn {Attribute} Restrict  =  171
55175 @end deffn
55177 @geindex Restrict_Guarantee (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
55178 @anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Restrict_Guarantee}@anchor{194f}
55179 @deffn {Attribute} Restrict_Guarantee  =  172
55180 @end deffn
55182 @geindex Sequence (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
55183 @anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Sequence}@anchor{1950}
55184 @deffn {Attribute} Sequence  =  173
55185 @end deffn
55187 @geindex Inherit (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
55188 @anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Inherit}@anchor{1951}
55189 @deffn {Attribute} Inherit  =  174
55190 @end deffn
55192 @geindex Vmode (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
55193 @anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Vmode}@anchor{1952}
55194 @deffn {Attribute} Vmode  =  175
55195 @end deffn
55197 @geindex Vprop (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
55198 @anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Vprop}@anchor{1953}
55199 @deffn {Attribute} Vprop  =  176
55200 @end deffn
55202 @geindex Vunit (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
55203 @anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Vunit}@anchor{1954}
55204 @deffn {Attribute} Vunit  =  177
55205 @end deffn
55207 @geindex Across (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
55208 @anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Across}@anchor{1955}
55209 @deffn {Attribute} Across  =  178
55210 @end deffn
55212 @geindex Break (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
55213 @anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Break}@anchor{1956}
55214 @deffn {Attribute} Break  =  179
55215 @end deffn
55217 @geindex Limit (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
55218 @anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Limit}@anchor{1957}
55219 @deffn {Attribute} Limit  =  180
55220 @end deffn
55222 @geindex Nature (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
55223 @anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Nature}@anchor{1958}
55224 @deffn {Attribute} Nature  =  181
55225 @end deffn
55227 @geindex Noise (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
55228 @anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Noise}@anchor{1959}
55229 @deffn {Attribute} Noise  =  182
55230 @end deffn
55232 @geindex Procedural (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
55233 @anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Procedural}@anchor{195a}
55234 @deffn {Attribute} Procedural  =  183
55235 @end deffn
55237 @geindex Quantity (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
55238 @anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Quantity}@anchor{195b}
55239 @deffn {Attribute} Quantity  =  184
55240 @end deffn
55242 @geindex Reference (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
55243 @anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Reference}@anchor{195c}
55244 @deffn {Attribute} Reference  =  185
55245 @end deffn
55247 @geindex Spectrum (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
55248 @anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Spectrum}@anchor{195d}
55249 @deffn {Attribute} Spectrum  =  186
55250 @end deffn
55252 @geindex Subnature (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
55253 @anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Subnature}@anchor{195e}
55254 @deffn {Attribute} Subnature  =  187
55255 @end deffn
55257 @geindex Terminal (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
55258 @anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Terminal}@anchor{195f}
55259 @deffn {Attribute} Terminal  =  188
55260 @end deffn
55262 @geindex Through (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
55263 @anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Through}@anchor{1960}
55264 @deffn {Attribute} Through  =  189
55265 @end deffn
55267 @geindex Tolerance (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
55268 @anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Tolerance}@anchor{1961}
55269 @deffn {Attribute} Tolerance  =  190
55270 @end deffn
55272 @geindex Psl_Clock (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
55273 @anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Psl_Clock}@anchor{1962}
55274 @deffn {Attribute} Psl_Clock  =  191
55275 @end deffn
55277 @geindex Psl_Endpoint (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
55278 @anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Psl_Endpoint}@anchor{1963}
55279 @deffn {Attribute} Psl_Endpoint  =  192
55280 @end deffn
55282 @geindex Psl_Const (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
55283 @anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Psl_Const}@anchor{1964}
55284 @deffn {Attribute} Psl_Const  =  193
55285 @end deffn
55287 @geindex Psl_Boolean (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
55288 @anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Psl_Boolean}@anchor{1965}
55289 @deffn {Attribute} Psl_Boolean  =  194
55290 @end deffn
55292 @geindex Inf (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
55293 @anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Inf}@anchor{1966}
55294 @deffn {Attribute} Inf  =  195
55295 @end deffn
55297 @geindex Within (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
55298 @anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Within}@anchor{1967}
55299 @deffn {Attribute} Within  =  196
55300 @end deffn
55302 @geindex Abort (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
55303 @anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Abort}@anchor{1968}
55304 @deffn {Attribute} Abort  =  197
55305 @end deffn
55307 @geindex Async_Abort (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
55308 @anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Async_Abort}@anchor{1969}
55309 @deffn {Attribute} Async_Abort  =  198
55310 @end deffn
55312 @geindex Sync_Abort (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
55313 @anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Sync_Abort}@anchor{196a}
55314 @deffn {Attribute} Sync_Abort  =  199
55315 @end deffn
55317 @geindex Before (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
55318 @anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Before}@anchor{196b}
55319 @deffn {Attribute} Before  =  200
55320 @end deffn
55322 @geindex Before_Em (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
55323 @anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Before_Em}@anchor{196c}
55324 @deffn {Attribute} Before_Em  =  201
55325 @end deffn
55327 @geindex Before_Un (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
55328 @anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Before_Un}@anchor{196d}
55329 @deffn {Attribute} Before_Un  =  202
55330 @end deffn
55332 @geindex Before_Em_Un (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
55333 @anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Before_Em_Un}@anchor{196e}
55334 @deffn {Attribute} Before_Em_Un  =  203
55335 @end deffn
55337 @geindex Always (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
55338 @anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Always}@anchor{196f}
55339 @deffn {Attribute} Always  =  204
55340 @end deffn
55342 @geindex Never (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
55343 @anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Never}@anchor{1970}
55344 @deffn {Attribute} Never  =  205
55345 @end deffn
55347 @geindex Eventually_Em (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
55348 @anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Eventually_Em}@anchor{1971}
55349 @deffn {Attribute} Eventually_Em  =  206
55350 @end deffn
55352 @geindex Next_Em (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
55353 @anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Next_Em}@anchor{1972}
55354 @deffn {Attribute} Next_Em  =  207
55355 @end deffn
55357 @geindex Next_A (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
55358 @anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Next_A}@anchor{1973}
55359 @deffn {Attribute} Next_A  =  208
55360 @end deffn
55362 @geindex Next_A_Em (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
55363 @anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Next_A_Em}@anchor{1974}
55364 @deffn {Attribute} Next_A_Em  =  209
55365 @end deffn
55367 @geindex Next_E (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
55368 @anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Next_E}@anchor{1975}
55369 @deffn {Attribute} Next_E  =  210
55370 @end deffn
55372 @geindex Next_E_Em (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
55373 @anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Next_E_Em}@anchor{1976}
55374 @deffn {Attribute} Next_E_Em  =  211
55375 @end deffn
55377 @geindex Next_Event (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
55378 @anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Next_Event}@anchor{1977}
55379 @deffn {Attribute} Next_Event  =  212
55380 @end deffn
55382 @geindex Next_Event_Em (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
55383 @anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Next_Event_Em}@anchor{1978}
55384 @deffn {Attribute} Next_Event_Em  =  213
55385 @end deffn
55387 @geindex Next_Event_A (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
55388 @anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Next_Event_A}@anchor{1979}
55389 @deffn {Attribute} Next_Event_A  =  214
55390 @end deffn
55392 @geindex Next_Event_A_Em (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
55393 @anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Next_Event_A_Em}@anchor{197a}
55394 @deffn {Attribute} Next_Event_A_Em  =  215
55395 @end deffn
55397 @geindex Next_Event_E (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
55398 @anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Next_Event_E}@anchor{197b}
55399 @deffn {Attribute} Next_Event_E  =  216
55400 @end deffn
55402 @geindex Next_Event_E_Em (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
55403 @anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Next_Event_E_Em}@anchor{197c}
55404 @deffn {Attribute} Next_Event_E_Em  =  217
55405 @end deffn
55407 @geindex Until_Em (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
55408 @anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Until_Em}@anchor{197d}
55409 @deffn {Attribute} Until_Em  =  218
55410 @end deffn
55412 @geindex Until_Un (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
55413 @anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Until_Un}@anchor{197e}
55414 @deffn {Attribute} Until_Un  =  219
55415 @end deffn
55417 @geindex Until_Em_Un (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
55418 @anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Until_Em_Un}@anchor{197f}
55419 @deffn {Attribute} Until_Em_Un  =  220
55420 @end deffn
55422 @geindex Prev (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
55423 @anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Prev}@anchor{1980}
55424 @deffn {Attribute} Prev  =  221
55425 @end deffn
55427 @geindex Stable (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
55428 @anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Stable}@anchor{1981}
55429 @deffn {Attribute} Stable  =  222
55430 @end deffn
55432 @geindex Fell (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
55433 @anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Fell}@anchor{1982}
55434 @deffn {Attribute} Fell  =  223
55435 @end deffn
55437 @geindex Rose (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
55438 @anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Rose}@anchor{1983}
55439 @deffn {Attribute} Rose  =  224
55440 @end deffn
55442 @geindex Onehot (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
55443 @anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Onehot}@anchor{1984}
55444 @deffn {Attribute} Onehot  =  225
55445 @end deffn
55447 @geindex Onehot0 (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
55448 @anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Onehot0}@anchor{1985}
55449 @deffn {Attribute} Onehot0  =  226
55450 @end deffn
55451 @end deffn
55453 @c # Load pre-defined aliases and graphical characters like © from docutils
55454 @c # <file> is used to denote the special path
55455 @c # <Python>\Lib\site-packages\docutils\parsers\rst\include
55457 @c This data file has been placed in the public domain.
55459 @c Derived from the Unicode character mappings available from
55460 @c <http://www.w3.org/2003/entities/xml/>.
55461 @c Processed by unicode2rstsubs.py, part of Docutils:
55462 @c <http://docutils.sourceforge.net>.
55464 @c This data file has been placed in the public domain.
55466 @c Derived from the Unicode character mappings available from
55467 @c <http://www.w3.org/2003/entities/xml/>.
55468 @c Processed by unicode2rstsubs.py, part of Docutils:
55469 @c <http://docutils.sourceforge.net>.
55471 @c # define a hard line break for HTML
55473 @node pyGHDL libghdl vhdl utils,,pyGHDL libghdl vhdl tokens,pyGHDL libghdl vhdl
55474 @anchor{pyGHDL/pyGHDL libghdl vhdl utils doc}@anchor{1986}@anchor{pyGHDL/pyGHDL libghdl vhdl utils module-pyGHDL libghdl vhdl utils}@anchor{37}@anchor{pyGHDL/pyGHDL libghdl vhdl utils pyghdl-libghdl-vhdl-utils}@anchor{1987}
55475 @subsubsection pyGHDL.libghdl.vhdl.utils
55478 @geindex module; pyGHDL.libghdl.vhdl.utils
55480 @c #-----------------------------------
55482 @strong{Functions}
55485 @itemize -
55487 @item 
55488 @ref{1988,,Get_Source_Identifier()}:
55489 Like @code{Get_Identifier} but return a @code{NameId} for the same casing as it appears in the source file.
55490 @end itemize
55492 @c #-----------------------------------
55494 @strong{Functions}
55496 @geindex Get_Source_Identifier() (in module pyGHDL.libghdl.vhdl.utils)
55497 @anchor{pyGHDL/pyGHDL libghdl vhdl utils pyGHDL libghdl vhdl utils Get_Source_Identifier}@anchor{1988}
55498 @deffn {Function} pyGHDL.libghdl.vhdl.utils.Get_Source_Identifier (Decl)
55500 Like @code{Get_Identifier} but return a @code{NameId} for the same casing as it appears in the source file.
55501 Not useful for analysis as VHDL is case insensitive, but could be useful for error messages or tooling.
55503 @*Parameters: 
55504 @code{Decl} (TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})) – Iir Node. Type: @code{Iir}
55507 @*Return type: 
55508 TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{NameId}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
55510 @end deffn
55512 @c #-----------------------------------
55514 @c #-----------------------------------
55516 @strong{Functions}
55519 @itemize -
55521 @item 
55522 @ref{1989,,finalize()}:
55523 Free all the memory, be ready for a new initialization.
55525 @item 
55526 @ref{198a,,initialize()}:
55527 Initialize or re-initialize the shared library.
55529 @item 
55530 @ref{198b,,set_option()}:
55531 Set option @code{opt}.
55533 @item 
55534 @ref{198c,,analyze_init()}:
55535 Initialize the analyzer.
55537 @item 
55538 @ref{198d,,analyze_init_status()}:
55539 Initialize the analyzer.
55541 @item 
55542 @ref{198e,,analyze_file()}:
55543 Analyze a given filename @code{fname}.
55545 @item 
55546 @ref{198f,,disp_config()}:
55547 Display the configured prefixes for libghdl.
55548 @end itemize
55550 @c #-----------------------------------
55552 @strong{Functions}
55554 @geindex finalize() (in module pyGHDL.libghdl)
55555 @anchor{pyGHDL/pyGHDL libghdl pyGHDL libghdl finalize}@anchor{1989}
55556 @deffn {Function} pyGHDL.libghdl.finalize ()
55558 Free all the memory, be ready for a new initialization.
55560 @*Return type: 
55561 None@footnote{https://docs.python.org/3.6/library/constants.html#None}
55563 @end deffn
55565 @geindex initialize() (in module pyGHDL.libghdl)
55566 @anchor{pyGHDL/pyGHDL libghdl pyGHDL libghdl initialize}@anchor{198a}
55567 @deffn {Function} pyGHDL.libghdl.initialize ()
55569 Initialize or re-initialize the shared library.
55571 @*Return type: 
55572 None@footnote{https://docs.python.org/3.6/library/constants.html#None}
55574 @end deffn
55576 @geindex set_option() (in module pyGHDL.libghdl)
55577 @anchor{pyGHDL/pyGHDL libghdl pyGHDL libghdl set_option}@anchor{198b}
55578 @deffn {Function} pyGHDL.libghdl.set_option (Opt)
55580 Set option @code{opt}.
55582 @*Parameters: 
55583 @code{Opt} (str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}) – Option to set.
55586 @*Return type: 
55587 bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
55590 @*Returns: 
55591 Return @code{True}, if the option is known and handled.
55593 @end deffn
55595 @geindex analyze_init() (in module pyGHDL.libghdl)
55596 @anchor{pyGHDL/pyGHDL libghdl pyGHDL libghdl analyze_init}@anchor{198c}
55597 @deffn {Function} pyGHDL.libghdl.analyze_init ()
55599 Initialize the analyzer.
55602 Deprecated since version 1.0.0: Deprecated as it may raise an exception. Use @ref{198d,,analyze_init_status()}.
55605 @*Return type: 
55606 None@footnote{https://docs.python.org/3.6/library/constants.html#None}
55608 @end deffn
55610 @geindex analyze_init_status() (in module pyGHDL.libghdl)
55611 @anchor{pyGHDL/pyGHDL libghdl pyGHDL libghdl analyze_init_status}@anchor{198d}
55612 @deffn {Function} pyGHDL.libghdl.analyze_init_status ()
55614 Initialize the analyzer.
55616 @*Return type: 
55617 int@footnote{https://docs.python.org/3.6/library/functions.html#int}
55620 @*Returns: 
55621 Returns 0 in case of success.
55623 @end deffn
55625 @geindex analyze_file() (in module pyGHDL.libghdl)
55626 @anchor{pyGHDL/pyGHDL libghdl pyGHDL libghdl analyze_file}@anchor{198e}
55627 @deffn {Function} pyGHDL.libghdl.analyze_file (fname)
55629 Analyze a given filename @code{fname}.
55631 @*Parameters: 
55632 @code{fname} (str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}) – File name
55635 @*Return type: 
55636 TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
55639 @*Returns: 
55640 Internal Intermediate Representation (IIR)
55642 @end deffn
55644 @geindex disp_config() (in module pyGHDL.libghdl)
55645 @anchor{pyGHDL/pyGHDL libghdl pyGHDL libghdl disp_config}@anchor{198f}
55646 @deffn {Function} pyGHDL.libghdl.disp_config ()
55648 Display the configured prefixes for libghdl.
55650 @*Return type: 
55651 None@footnote{https://docs.python.org/3.6/library/constants.html#None}
55653 @end deffn
55655 @c # Load pre-defined aliases and graphical characters like © from docutils
55656 @c # <file> is used to denote the special path
55657 @c # <Python>\Lib\site-packages\docutils\parsers\rst\include
55659 @c This data file has been placed in the public domain.
55661 @c Derived from the Unicode character mappings available from
55662 @c <http://www.w3.org/2003/entities/xml/>.
55663 @c Processed by unicode2rstsubs.py, part of Docutils:
55664 @c <http://docutils.sourceforge.net>.
55666 @c This data file has been placed in the public domain.
55668 @c Derived from the Unicode character mappings available from
55669 @c <http://www.w3.org/2003/entities/xml/>.
55670 @c Processed by unicode2rstsubs.py, part of Docutils:
55671 @c <http://docutils.sourceforge.net>.
55673 @c # define a hard line break for HTML
55675 @node pyGHDL lsp,,pyGHDL libghdl,pyGHDL
55676 @anchor{pyGHDL/pyGHDL lsp doc}@anchor{1990}@anchor{pyGHDL/pyGHDL lsp module-pyGHDL lsp}@anchor{38}@anchor{pyGHDL/pyGHDL lsp pyghdl-lsp}@anchor{1991}
55677 @section pyGHDL.lsp
55680 @geindex module; pyGHDL.lsp
55682 @c #-----------------------------------
55684 @strong{Submodules}
55686 @c # Load pre-defined aliases and graphical characters like © from docutils
55687 @c # <file> is used to denote the special path
55688 @c # <Python>\Lib\site-packages\docutils\parsers\rst\include
55690 @c This data file has been placed in the public domain.
55692 @c Derived from the Unicode character mappings available from
55693 @c <http://www.w3.org/2003/entities/xml/>.
55694 @c Processed by unicode2rstsubs.py, part of Docutils:
55695 @c <http://docutils.sourceforge.net>.
55697 @c This data file has been placed in the public domain.
55699 @c Derived from the Unicode character mappings available from
55700 @c <http://www.w3.org/2003/entities/xml/>.
55701 @c Processed by unicode2rstsubs.py, part of Docutils:
55702 @c <http://docutils.sourceforge.net>.
55704 @c # define a hard line break for HTML
55706 @menu
55707 * pyGHDL.lsp.document: pyGHDL lsp document. 
55708 * pyGHDL.lsp.lsp: pyGHDL lsp lsp. 
55709 * pyGHDL.lsp.lsptools: pyGHDL lsp lsptools. 
55710 * pyGHDL.lsp.references: pyGHDL lsp references. 
55711 * pyGHDL.lsp.symbols: pyGHDL lsp symbols. 
55712 * pyGHDL.lsp.version: pyGHDL lsp version. 
55713 * pyGHDL.lsp.vhdl_ls: pyGHDL lsp vhdl_ls. 
55714 * pyGHDL.lsp.workspace: pyGHDL lsp workspace. 
55716 @end menu
55718 @node pyGHDL lsp document,pyGHDL lsp lsp,,pyGHDL lsp
55719 @anchor{pyGHDL/pyGHDL lsp document doc}@anchor{1992}@anchor{pyGHDL/pyGHDL lsp document module-pyGHDL lsp document}@anchor{39}@anchor{pyGHDL/pyGHDL lsp document pyghdl-lsp-document}@anchor{1993}
55720 @subsection pyGHDL.lsp.document
55723 @geindex module; pyGHDL.lsp.document
55725 @c #-----------------------------------
55727 @c # Load pre-defined aliases and graphical characters like © from docutils
55728 @c # <file> is used to denote the special path
55729 @c # <Python>\Lib\site-packages\docutils\parsers\rst\include
55731 @c This data file has been placed in the public domain.
55733 @c Derived from the Unicode character mappings available from
55734 @c <http://www.w3.org/2003/entities/xml/>.
55735 @c Processed by unicode2rstsubs.py, part of Docutils:
55736 @c <http://docutils.sourceforge.net>.
55738 @c This data file has been placed in the public domain.
55740 @c Derived from the Unicode character mappings available from
55741 @c <http://www.w3.org/2003/entities/xml/>.
55742 @c Processed by unicode2rstsubs.py, part of Docutils:
55743 @c <http://docutils.sourceforge.net>.
55745 @c # define a hard line break for HTML
55747 @node pyGHDL lsp lsp,pyGHDL lsp lsptools,pyGHDL lsp document,pyGHDL lsp
55748 @anchor{pyGHDL/pyGHDL lsp lsp doc}@anchor{1994}@anchor{pyGHDL/pyGHDL lsp lsp module-pyGHDL lsp lsp}@anchor{3a}@anchor{pyGHDL/pyGHDL lsp lsp pyghdl-lsp-lsp}@anchor{1995}
55749 @subsection pyGHDL.lsp.lsp
55752 @geindex module; pyGHDL.lsp.lsp
55754 @c #-----------------------------------
55756 @c # Load pre-defined aliases and graphical characters like © from docutils
55757 @c # <file> is used to denote the special path
55758 @c # <Python>\Lib\site-packages\docutils\parsers\rst\include
55760 @c This data file has been placed in the public domain.
55762 @c Derived from the Unicode character mappings available from
55763 @c <http://www.w3.org/2003/entities/xml/>.
55764 @c Processed by unicode2rstsubs.py, part of Docutils:
55765 @c <http://docutils.sourceforge.net>.
55767 @c This data file has been placed in the public domain.
55769 @c Derived from the Unicode character mappings available from
55770 @c <http://www.w3.org/2003/entities/xml/>.
55771 @c Processed by unicode2rstsubs.py, part of Docutils:
55772 @c <http://docutils.sourceforge.net>.
55774 @c # define a hard line break for HTML
55776 @node pyGHDL lsp lsptools,pyGHDL lsp references,pyGHDL lsp lsp,pyGHDL lsp
55777 @anchor{pyGHDL/pyGHDL lsp lsptools doc}@anchor{1996}@anchor{pyGHDL/pyGHDL lsp lsptools module-pyGHDL lsp lsptools}@anchor{3b}@anchor{pyGHDL/pyGHDL lsp lsptools pyghdl-lsp-lsptools}@anchor{1997}
55778 @subsection pyGHDL.lsp.lsptools
55781 @geindex module; pyGHDL.lsp.lsptools
55783 @c #-----------------------------------
55785 @c # Load pre-defined aliases and graphical characters like © from docutils
55786 @c # <file> is used to denote the special path
55787 @c # <Python>\Lib\site-packages\docutils\parsers\rst\include
55789 @c This data file has been placed in the public domain.
55791 @c Derived from the Unicode character mappings available from
55792 @c <http://www.w3.org/2003/entities/xml/>.
55793 @c Processed by unicode2rstsubs.py, part of Docutils:
55794 @c <http://docutils.sourceforge.net>.
55796 @c This data file has been placed in the public domain.
55798 @c Derived from the Unicode character mappings available from
55799 @c <http://www.w3.org/2003/entities/xml/>.
55800 @c Processed by unicode2rstsubs.py, part of Docutils:
55801 @c <http://docutils.sourceforge.net>.
55803 @c # define a hard line break for HTML
55805 @node pyGHDL lsp references,pyGHDL lsp symbols,pyGHDL lsp lsptools,pyGHDL lsp
55806 @anchor{pyGHDL/pyGHDL lsp references doc}@anchor{1998}@anchor{pyGHDL/pyGHDL lsp references module-pyGHDL lsp references}@anchor{3c}@anchor{pyGHDL/pyGHDL lsp references pyghdl-lsp-references}@anchor{1999}
55807 @subsection pyGHDL.lsp.references
55810 @geindex module; pyGHDL.lsp.references
55812 @c #-----------------------------------
55814 @c # Load pre-defined aliases and graphical characters like © from docutils
55815 @c # <file> is used to denote the special path
55816 @c # <Python>\Lib\site-packages\docutils\parsers\rst\include
55818 @c This data file has been placed in the public domain.
55820 @c Derived from the Unicode character mappings available from
55821 @c <http://www.w3.org/2003/entities/xml/>.
55822 @c Processed by unicode2rstsubs.py, part of Docutils:
55823 @c <http://docutils.sourceforge.net>.
55825 @c This data file has been placed in the public domain.
55827 @c Derived from the Unicode character mappings available from
55828 @c <http://www.w3.org/2003/entities/xml/>.
55829 @c Processed by unicode2rstsubs.py, part of Docutils:
55830 @c <http://docutils.sourceforge.net>.
55832 @c # define a hard line break for HTML
55834 @node pyGHDL lsp symbols,pyGHDL lsp version,pyGHDL lsp references,pyGHDL lsp
55835 @anchor{pyGHDL/pyGHDL lsp symbols doc}@anchor{199a}@anchor{pyGHDL/pyGHDL lsp symbols module-pyGHDL lsp symbols}@anchor{3d}@anchor{pyGHDL/pyGHDL lsp symbols pyghdl-lsp-symbols}@anchor{199b}
55836 @subsection pyGHDL.lsp.symbols
55839 @geindex module; pyGHDL.lsp.symbols
55841 @c #-----------------------------------
55843 @c # Load pre-defined aliases and graphical characters like © from docutils
55844 @c # <file> is used to denote the special path
55845 @c # <Python>\Lib\site-packages\docutils\parsers\rst\include
55847 @c This data file has been placed in the public domain.
55849 @c Derived from the Unicode character mappings available from
55850 @c <http://www.w3.org/2003/entities/xml/>.
55851 @c Processed by unicode2rstsubs.py, part of Docutils:
55852 @c <http://docutils.sourceforge.net>.
55854 @c This data file has been placed in the public domain.
55856 @c Derived from the Unicode character mappings available from
55857 @c <http://www.w3.org/2003/entities/xml/>.
55858 @c Processed by unicode2rstsubs.py, part of Docutils:
55859 @c <http://docutils.sourceforge.net>.
55861 @c # define a hard line break for HTML
55863 @node pyGHDL lsp version,pyGHDL lsp vhdl_ls,pyGHDL lsp symbols,pyGHDL lsp
55864 @anchor{pyGHDL/pyGHDL lsp version doc}@anchor{199c}@anchor{pyGHDL/pyGHDL lsp version module-pyGHDL lsp version}@anchor{3e}@anchor{pyGHDL/pyGHDL lsp version pyghdl-lsp-version}@anchor{199d}
55865 @subsection pyGHDL.lsp.version
55868 @geindex module; pyGHDL.lsp.version
55870 @c #-----------------------------------
55872 @c # Load pre-defined aliases and graphical characters like © from docutils
55873 @c # <file> is used to denote the special path
55874 @c # <Python>\Lib\site-packages\docutils\parsers\rst\include
55876 @c This data file has been placed in the public domain.
55878 @c Derived from the Unicode character mappings available from
55879 @c <http://www.w3.org/2003/entities/xml/>.
55880 @c Processed by unicode2rstsubs.py, part of Docutils:
55881 @c <http://docutils.sourceforge.net>.
55883 @c This data file has been placed in the public domain.
55885 @c Derived from the Unicode character mappings available from
55886 @c <http://www.w3.org/2003/entities/xml/>.
55887 @c Processed by unicode2rstsubs.py, part of Docutils:
55888 @c <http://docutils.sourceforge.net>.
55890 @c # define a hard line break for HTML
55892 @node pyGHDL lsp vhdl_ls,pyGHDL lsp workspace,pyGHDL lsp version,pyGHDL lsp
55893 @anchor{pyGHDL/pyGHDL lsp vhdl_ls doc}@anchor{199e}@anchor{pyGHDL/pyGHDL lsp vhdl_ls module-pyGHDL lsp vhdl_ls}@anchor{3f}@anchor{pyGHDL/pyGHDL lsp vhdl_ls pyghdl-lsp-vhdl-ls}@anchor{199f}
55894 @subsection pyGHDL.lsp.vhdl_ls
55897 @geindex module; pyGHDL.lsp.vhdl_ls
55899 @c #-----------------------------------
55901 @c # Load pre-defined aliases and graphical characters like © from docutils
55902 @c # <file> is used to denote the special path
55903 @c # <Python>\Lib\site-packages\docutils\parsers\rst\include
55905 @c This data file has been placed in the public domain.
55907 @c Derived from the Unicode character mappings available from
55908 @c <http://www.w3.org/2003/entities/xml/>.
55909 @c Processed by unicode2rstsubs.py, part of Docutils:
55910 @c <http://docutils.sourceforge.net>.
55912 @c This data file has been placed in the public domain.
55914 @c Derived from the Unicode character mappings available from
55915 @c <http://www.w3.org/2003/entities/xml/>.
55916 @c Processed by unicode2rstsubs.py, part of Docutils:
55917 @c <http://docutils.sourceforge.net>.
55919 @c # define a hard line break for HTML
55921 @node pyGHDL lsp workspace,,pyGHDL lsp vhdl_ls,pyGHDL lsp
55922 @anchor{pyGHDL/pyGHDL lsp workspace doc}@anchor{19a0}@anchor{pyGHDL/pyGHDL lsp workspace module-pyGHDL lsp workspace}@anchor{40}@anchor{pyGHDL/pyGHDL lsp workspace pyghdl-lsp-workspace}@anchor{19a1}
55923 @subsection pyGHDL.lsp.workspace
55926 @geindex module; pyGHDL.lsp.workspace
55928 @c #-----------------------------------
55930 @c #-----------------------------------
55932 @c #-----------------------------------
55934 @strong{Exceptions}
55937 @itemize -
55939 @item 
55940 @ref{19a2,,GHDLBaseException}:
55941 Common base class for all non-exit exceptions.
55942 @end itemize
55944 @c #-----------------------------------
55946 @geindex GHDLBaseException
55947 @anchor{pyGHDL/pyGHDL pyGHDL GHDLBaseException}@anchor{19a2}
55948 @deffn {Exception} pyGHDL.GHDLBaseException
55950 @subheading Inheritance
55952 @image{inheritance-a60b3e2d87738be6bc5777692be4010afda80e33,,,[graphviz],png}
55954 @subheading Members
55957 @geindex message (pyGHDL.GHDLBaseException property)
55958 @anchor{pyGHDL/pyGHDL pyGHDL GHDLBaseException message}@anchor{19a3}
55959 @deffn {Property} message:  str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
55961 @*Return type: 
55962 str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
55964 @end deffn
55966 @geindex args (pyGHDL.GHDLBaseException attribute)
55967 @anchor{pyGHDL/pyGHDL pyGHDL GHDLBaseException args}@anchor{19a4}
55968 @deffn {Attribute} args
55969 @end deffn
55971 @geindex with_traceback() (pyGHDL.GHDLBaseException method)
55972 @anchor{pyGHDL/pyGHDL pyGHDL GHDLBaseException with_traceback}@anchor{19a5}
55973 @deffn {Method} with_traceback ()
55975 Exception.with_traceback(tb) –
55976 set self.__traceback__ to tb and return self.
55977 @end deffn
55978 @end deffn
55980 @c # Load pre-defined aliases and graphical characters like © from docutils
55981 @c # <file> is used to denote the special path
55982 @c # <Python>\Lib\site-packages\docutils\parsers\rst\include
55984 @c This data file has been placed in the public domain.
55986 @c Derived from the Unicode character mappings available from
55987 @c <http://www.w3.org/2003/entities/xml/>.
55988 @c Processed by unicode2rstsubs.py, part of Docutils:
55989 @c <http://docutils.sourceforge.net>.
55991 @c This data file has been placed in the public domain.
55993 @c Derived from the Unicode character mappings available from
55994 @c <http://www.w3.org/2003/entities/xml/>.
55995 @c Processed by unicode2rstsubs.py, part of Docutils:
55996 @c <http://docutils.sourceforge.net>.
55998 @c # define a hard line break for HTML
56000 @node GHDL Waveform GHW,Debugging,pyGHDL,Top
56001 @anchor{ghw/index doc}@anchor{19a6}@anchor{ghw/index ghdl-waveform-ghw}@anchor{19a7}@anchor{ghw/index ghw}@anchor{4a}
56002 @chapter GHDL Waveform (GHW)
56005 The most widespread format for dumping waveforms is Value Change Dump (VCD), defined in the Verilog language (IEEE Std
56006 1364-1995) and extended six years later as Extended VCD (EVCD), defined in IEEE Std 1364-2001.
56007 VCD is simple and compact, which allowed it to be used in fields other than Verilog simulation tools.
56008 For instance, GHDL supports dumping VCD files.
56010 However, VCD/EVCD cannot handle certain signal types from the VHDL language.
56011 There is neither any equivalent in the VHDL LRM.
56012 So, the author of GHDL, Tristan Gingold, implemented an alternative format named GHW, for allowing all VHDL types to be
56013 dumped.
56014 He also contributed a reader to GTKWave based on libghw (see gtkwave/gtkwave/search?q=libghw@footnote{https://github.com/gtkwave/gtkwave/search?q=libghw} and gtkwave/gtkwave: gtkwave3/src/ghw.c@footnote{https://github.com/gtkwave/gtkwave/blob/master/gtkwave3/src/ghw.c}),
56015 which allows visualizing GHW waves.
56017 The GHW format is not completely fixed, and it might change slightly as new language features are implemented in GHDL
56018 or as a result of internal tweaks.
56019 Nevertheless, the GHDL codebase (grt/grt-waves.adb@footnote{https://github.com/ghdl/ghdl/blob/master/src/grt/grt-waves.adb}) is kept in sync with the utilities in
56020 subdir ghw@footnote{https://github.com/ghdl/ghdl/blob/master/src/../ghw}.
56022 @cartouche
56023 @quotation Tip 
56024 In nturley/ghw-notes@footnote{https://github.com/nturley/ghw-notes}, there is some work for defining the GHW format as a
56025 Kaitai Struct (see kaitai.io@footnote{https://kaitai.io/}).
56026 @end quotation
56027 @end cartouche
56029 @menu
56030 * libghw:: 
56031 * ghwdump:: 
56033 @end menu
56035 @node libghw,ghwdump,,GHDL Waveform GHW
56036 @anchor{ghw/index libghw}@anchor{19a8}
56037 @section libghw
56040 GHW reading features are provided as a shared library, which is built and installed with GHDL by default.
56042 @node ghwdump,,libghw,GHDL Waveform GHW
56043 @anchor{ghw/index ghwdump}@anchor{19a9}
56044 @section ghwdump
56047 For debugging and learning purposes, ghwdump uses ghdlib for dumping the content of GHW waves into text files.
56048 ghwdump is also built and installed with GHDL by default.
56049 It’s used in the GHDL test suite for catching regressions.
56051 @c # Load pre-defined aliases and graphical characters like © from docutils
56052 @c # <file> is used to denote the special path
56053 @c # <Python>\Lib\site-packages\docutils\parsers\rst\include
56055 @c This data file has been placed in the public domain.
56057 @c Derived from the Unicode character mappings available from
56058 @c <http://www.w3.org/2003/entities/xml/>.
56059 @c Processed by unicode2rstsubs.py, part of Docutils:
56060 @c <http://docutils.sourceforge.net>.
56062 @c This data file has been placed in the public domain.
56064 @c Derived from the Unicode character mappings available from
56065 @c <http://www.w3.org/2003/entities/xml/>.
56066 @c Processed by unicode2rstsubs.py, part of Docutils:
56067 @c <http://docutils.sourceforge.net>.
56069 @c # define a hard line break for HTML
56071 @node Debugging,Coding Style,GHDL Waveform GHW,Top
56072 @anchor{development/Debugging doc}@anchor{19aa}@anchor{development/Debugging debugging}@anchor{19ab}@anchor{development/Debugging dev-debugging}@anchor{120}
56073 @chapter Debugging
56076 @menu
56077 * Simulation and runtime debugging options:: 
56079 @end menu
56081 @node Simulation and runtime debugging options,,,Debugging
56082 @anchor{development/Debugging simulation-and-runtime-debugging-options}@anchor{19ac}
56083 @section Simulation and runtime debugging options
56086 Besides the options described in @ref{c5,,Options}, @cite{GHDL} passes any debugging options (those that begin with
56087 @code{-g}) and optimizations options (those that begin with @code{-O} or @code{-f}) to @cite{GCC}. Refer to the @cite{GCC} manual for
56088 details. Moreover, some debugging options are also available, but not described here. The --help@footnote{https://docs.python.org/3.6/using/cmdline.html#cmdoption-help} option lists
56089 all options available, including the debugging ones.
56091 @geindex command line option; --trace-signals
56092 @anchor{development/Debugging cmdoption-trace-signals}@anchor{19ad}
56093 @deffn {Option} @w{-}@w{-}trace@w{-}signals
56095 Display signals after each cycle.
56096 @end deffn
56098 @geindex command line option; --trace-processes
56099 @anchor{development/Debugging cmdoption-trace-processes}@anchor{19ae}
56100 @deffn {Option} @w{-}@w{-}trace@w{-}processes
56102 Display process name before each cycle.
56103 @end deffn
56105 @geindex command line option; --stats
56106 @anchor{development/Debugging cmdoption-stats}@anchor{19af}
56107 @deffn {Option} @w{-}@w{-}stats
56109 Display run-time statistics.
56110 @end deffn
56112 @geindex command line option; --disp-order
56113 @anchor{development/Debugging cmdoption-disp-order}@anchor{19b0}
56114 @deffn {Option} @w{-}@w{-}disp@w{-}order
56116 Display signals order.
56117 @end deffn
56119 @geindex command line option; --disp-sources
56120 @anchor{development/Debugging cmdoption-disp-sources}@anchor{19b1}
56121 @deffn {Option} @w{-}@w{-}disp@w{-}sources
56123 Display sources while displaying signals.
56124 @end deffn
56126 @geindex command line option; --disp-sig-types
56127 @anchor{development/Debugging cmdoption-disp-sig-types}@anchor{19b2}
56128 @deffn {Option} @w{-}@w{-}disp@w{-}sig@w{-}types
56130 Display signal types.
56131 @end deffn
56133 @geindex command line option; --disp-signals-map
56134 @anchor{development/Debugging cmdoption-disp-signals-map}@anchor{19b3}
56135 @deffn {Option} @w{-}@w{-}disp@w{-}signals@w{-}map
56137 Display map bw declared signals and internal signals.
56138 @end deffn
56140 @geindex command line option; --disp-signals-table
56141 @anchor{development/Debugging cmdoption-disp-signals-table}@anchor{19b4}
56142 @deffn {Option} @w{-}@w{-}disp@w{-}signals@w{-}table
56144 Display internal signals.
56145 @end deffn
56147 @geindex command line option; --checks
56148 @anchor{development/Debugging cmdoption-checks}@anchor{19b5}
56149 @deffn {Option} @w{-}@w{-}checks
56151 Do internal checks after each process run.
56152 @end deffn
56154 @geindex command line option; --activity
56155 @anchor{development/Debugging cmdoption-activity}@anchor{19b6}
56156 @deffn {Option} @w{-}@w{-}activity=<LEVEL>
56158 Watch activity of LEVEL signals: LEVEL is @code{all}, @code{min} (default) or @code{none} (unsafe).
56159 @end deffn
56161 @geindex command line option; --dump-rti
56162 @anchor{development/Debugging cmdoption-dump-rti}@anchor{19b7}
56163 @deffn {Option} @w{-}@w{-}dump@w{-}rti
56165 Dump Run Time Information (RTI).
56166 @end deffn
56168 @geindex command line option; --bootstrap
56169 @anchor{development/Debugging cmdoption-bootstrap}@anchor{19b8}
56170 @deffn {Option} @w{-}@w{-}bootstrap
56172 Allow @code{--work=std}
56173 @end deffn
56175 @menu
56176 * GNU Debugger (GDB): GNU Debugger GDB. 
56178 @end menu
56180 @node GNU Debugger GDB,,,Simulation and runtime debugging options
56181 @anchor{development/Debugging gnu-debugger-gdb}@anchor{19b9}
56182 @subsection GNU Debugger (GDB)
56185 @geindex `__ghdl_fatal`
56187 @cartouche
56188 @quotation Warning 
56189 Debugging VHDL programs using @cite{GDB} is possible only with GCC/LLVM.
56190 @end quotation
56191 @end cartouche
56193 GDB is a general purpose debugger for programs compiled by GCC. Currently, there is no VHDL support for GDB. It may be difficult to inspect variables or signals in GDB. However, it is still able to display the stack frame in case of error or to set a breakpoint at a specified line.
56195 GDB can be useful to catch a runtime error, such as indexing an array beyond its bounds. All error check subprograms call the @code{__ghdl_fatal} procedure. Therefore, to a catch runtime error, set a breakpoint like this:
56197 @example
56198 (gdb) break __ghdl_fatal
56199 @end example
56201 When the breakpoint is hit, use the @code{where} or @code{bt} command to display the stack frames.
56203 @c # Load pre-defined aliases and graphical characters like © from docutils
56204 @c # <file> is used to denote the special path
56205 @c # <Python>\Lib\site-packages\docutils\parsers\rst\include
56207 @c This data file has been placed in the public domain.
56209 @c Derived from the Unicode character mappings available from
56210 @c <http://www.w3.org/2003/entities/xml/>.
56211 @c Processed by unicode2rstsubs.py, part of Docutils:
56212 @c <http://docutils.sourceforge.net>.
56214 @c This data file has been placed in the public domain.
56216 @c Derived from the Unicode character mappings available from
56217 @c <http://www.w3.org/2003/entities/xml/>.
56218 @c Processed by unicode2rstsubs.py, part of Docutils:
56219 @c <http://docutils.sourceforge.net>.
56221 @c # define a hard line break for HTML
56223 @node Coding Style,Scripts,Debugging,Top
56224 @anchor{development/CodingStyle doc}@anchor{19ba}@anchor{development/CodingStyle coding-style}@anchor{19bb}@anchor{development/CodingStyle dev-style}@anchor{74}
56225 @chapter Coding Style
56228 @menu
56229 * Ada:: 
56230 * Shell:: 
56231 * Guidelines to edit the documentation:: 
56232 * Documentation configuration:: 
56234 @end menu
56236 @node Ada,Shell,,Coding Style
56237 @anchor{development/CodingStyle ada}@anchor{19bc}
56238 @section Ada
56241 Ada subset: use only a simple (VHDL like) subset of Ada: no tasking, no
56242 controlled types… VHDL users should easily understand that subset.
56243 Allowed Ada95 features: the standard library, child packages.
56244 Use assertions.
56246 We try to follow the ‘GNU Coding Standards’ when possible: comments before
56247 declarations, one space at the end of sentences, finish sentences with a dot.
56248 But: 2 spaces for indentation in code blocks.
56250 No trailing spaces, no TAB (HT).
56252 Subprograms must have a comment before to describe them, like:
56254 @example
56255 --  Analyze the concurrent statements of PARENT.
56256 procedure Sem_Concurrent_Statement_Chain (Parent : Iir);
56257 @end example
56259 The line before the comment must be a blank line (unless this is the first
56260 declaration). Don’t repeat the comment before the subprogram body.
56263 @itemize *
56265 @item 
56266 For subprograms:
56269 @enumerate 
56271 @item 
56272 Declare on one line when possible:
56274 @example
56275 function Translate_Static_Aggregate (Aggr : Iir) return O_Cnode
56276 @end example
56278 @item 
56279 If not possible, put the return on the next line:
56281 @example
56282 function Translate_Static_String (Str_Type : Iir; Str_Ident : Name_Id)
56283                                  return O_Cnode
56284 @end example
56286 @item 
56287 If not possible, put parameters and return on the next line:
56289 @example
56290 function Create_String_Literal_Var_Inner
56291   (Str : Iir; Element_Type : Iir; Str_Type : O_Tnode) return Var_Type
56292 @end example
56294 @item 
56295 If not possible, return on the next line:
56297 @example
56298 function Translate_Shortcut_Operator
56299   (Imp : Iir_Implicit_Function_Declaration; Left, Right : Iir)
56300   return O_Enode
56301 @end example
56303 @item 
56304 If not possible, one parameter per line, just after subprogram name:
56306 @example
56307 procedure Translate_Static_Aggregate_1 (List : in out O_Array_Aggr_List;
56308                                         Aggr : Iir;
56309                                         Info : Iir;
56310                                         El_Type : Iir)
56311 @end example
56313 @item 
56314 If not possible, add a return after subprogram name:
56316 @example
56317 function Translate_Predefined_TF_Array_Element
56318   (Op : Predefined_Boolean_Logical;
56319    Left, Right : Iir;
56320    Res_Type : Iir;
56321    Loc : Iir)
56322   return O_Enode
56323 @end example
56325 @item 
56326 If not possible, ask yourself what is wrong!  Shorten a name.
56327 @end enumerate
56329 @item 
56330 Rule for the ‘is’: on a new line only if the declarative part is not empty:
56332 @quotation
56334 @example
56335 procedure Translate_Assign (Target : Mnode; Expr : Iir; Target_Type : Iir)
56337   Val : O_Enode;
56338 begin
56339 @end example
56340 @end quotation
56344 @quotation
56346 @example
56347 function Translate_Static_Range_Dir (Expr : Iir) return O_Cnode is
56348 begin
56349 @end example
56350 @end quotation
56352 If the parameter line is too long with the ‘is’, put in on a separate line:
56354 @quotation
56356 @example
56357 procedure Predeclare_Scope_Type
56358   (Scope : in out Var_Scope_Type; Name : O_Ident) is
56359 @end example
56360 @end quotation
56362 @item 
56363 Generic instantiation: put the generic actual part on a new line:
56365 @quotation
56367 @example
56368 procedure Free is new Ada.Unchecked_Deallocation
56369   (Action_List, Action_List_Acc);
56370 @end example
56371 @end quotation
56373 @item 
56374 For if/then statement:
56377 @enumerate 
56379 @item 
56380 ‘then’ on the same line:
56382 @example
56383 if Get_Expr_Staticness (Decl) = Locally then
56384 @end example
56386 @item 
56387 If not possible, ‘then’ is alone on its line aligned with the ‘if’:
56389 @example
56390 if Expr = Null_Iir
56391   or else Get_Kind (Expr) = Iir_Kind_Overflow_Literal
56392 then
56393 @end example
56395 @item 
56396 For a multiline condition, ‘or else’ and ‘and then’ should start lines.
56397 @end enumerate
56399 @item 
56400 ‘Local’ variable declaration:
56401 Do not initialize variables, constants must be declared before variables:
56403 @quotation
56405 @example
56407   N_Info : constant Iir := Get_Sub_Aggregate_Info (Info);
56408   Assoc  : Iir;
56409   Sub    : Iir;
56410 begin
56411 @end example
56412 @end quotation
56414 If the initialization expression has a side effect (such as allocation), do
56415 not use a constant.
56416 @end itemize
56418 @node Shell,Guidelines to edit the documentation,Ada,Coding Style
56419 @anchor{development/CodingStyle shell}@anchor{19bd}
56420 @section Shell
56423 Ubuntu uses @cite{dash} instead of @cite{bash} when a shell script is run. As a result, some functionalities, such as arrays like
56424 @code{array[1]}, are not supported. Therefore, build scripts should not use those functionalities unless
56425 they are sourced in a @cite{bash} shell. The same applies to the scripts in @cite{testsuite}.
56427 @node Guidelines to edit the documentation,Documentation configuration,Shell,Coding Style
56428 @anchor{development/CodingStyle guidelines-to-edit-the-documentation}@anchor{19be}
56429 @section Guidelines to edit the documentation
56432 @quotation
56435 @enumerate 
56437 @item 
56438 It’s better for version control systems and diff tools to break lines at a sensible number of characters. Long lines appear as one diff. Also merging is more complex because merges are line based. Long unbreakable items may be longer (links, refs, etc.). We chose to use 160 chars.
56440 @item 
56441 Please indent all directive content by 3 spaces (not 2, and no tabs).
56443 @item 
56444 Please use @code{*} as an itemize character, since @code{-} and @code{+} are supported by docutils, but not officially supported by Sphinx.
56446 @item 
56447 Please underline all headlines with at least as many characters as the headline is long. Following the Python pattern for headlines the levels are:
56449 @example
56450 ############
56451 ************ (sometimes skipped in small documents)
56452 ============
56453 -------------------
56454 ‘’’’’’’’’’’’’’’’’’’’’’’’
56455 @end example
56457 @item 
56458 It’s not required to write
56460 @example
56461 :samp:`code`
56462 @end example
56464 The default role for
56466 @quotation
56468 @example
56469 `@w{`}code`@w{`}
56470 @end example
56471 @end quotation
56473 is samp. @code{:samp:} is only required when you want to write italic text in code text.
56475 @quotation
56477 @example
56478 :samp:`print 1+@{variable@}`
56479 @end example
56480 @end quotation
56482 Now, variable becomes italic.
56484 Please simplify all usages of @code{:samp:`code`} to @code{`@w{`}code`@w{`}} for readability. Here are the regular expressions for an editor like Notepad++:
56487 @itemize -
56489 @item 
56490 Search pattern:: @code{(.+?)}
56492 @item 
56493 Replace pattern:: @code{\1}
56494 @end itemize
56496 @item 
56497 Each backend has one folder and each platform/compiler has one file. Please note that page headlines are different from ToC headline:
56499 @example
56500 .. toctree::
56501    :hidden:
56503    ToC entry <file1>
56504    file2
56505 @end example
56507 @item 
56508 Documentation should not use “you”, “we”, …, because it’s not an interactive conversation or informal letter. It’s like a thesis, everything is structured and formal. However, to make it more friendly to newcomers, we agree to allow informal language in the Quick Start Guide.
56510 @item 
56511 Please keep errors to a minimum.
56512 @end enumerate
56513 @end quotation
56515 @menu
56516 * Guidelines to edit section ‘Building’:: 
56518 @end menu
56520 @node Guidelines to edit section ‘Building’,,,Guidelines to edit the documentation
56521 @anchor{development/CodingStyle guidelines-to-edit-section-building}@anchor{19bf}
56522 @subsection Guidelines to edit section ‘Building’
56525 We prefer a text block, which explains how a compilation works, what we can configure for that backend, etc. After that, we prefer a code block with e.g. bash instructions on how to compile a backend. A list of instructions with embedded bash lines is not helpful. An experienced, as well as novice user, would like to copy a set of instructions into the shell. But it should be stated what these instructions will do. Complex flows like for GCC, can be split into multiple shell code blocks. Moreover, we find it essential to demonstrate when and where to change directories.
56527 We would like to see a list like:
56530 @itemize *
56532 @item 
56533 gcc (Gnu Compiler Collection)
56535 @item 
56536 gcc-gnat (Ada compiler for GCC)
56538 @item 
56539 llvm-del (LLVM development package)
56541 @item 
56543 @end itemize
56545 The goal is also to explain what a user is installing and what the few lines in the build description do. Now they know the name, can search for similar names if they have another package manager or distro or can ask Google/Wikipedia. We often find many build receipts with cryptic shell code and to execute this unknown stuff with sudo is not comfortable. We would like to know what it does before hitting enter.
56547 @node Documentation configuration,,Guidelines to edit the documentation,Coding Style
56548 @anchor{development/CodingStyle documentation-configuration}@anchor{19c0}
56549 @section Documentation configuration
56553 @itemize *
56555 @item 
56556 Python snippet for Sphinx’s @cite{conf.py} to extract the current version number from Git (latest tag name). [#200@footnote{https://github.com/ghdl/ghdl/issues/200}, #221@footnote{https://github.com/ghdl/ghdl/issues/221}]
56558 @item 
56559 Reference @code{genindex.html} from the navigation bar. [#200@footnote{https://github.com/ghdl/ghdl/issues/200}]
56561 @item 
56562 Create “parts” (LaTeX terminology / chapter headlines) in navigation bar. [#200@footnote{https://github.com/ghdl/ghdl/issues/200}]
56564 @item 
56566 @table @asis
56568 @item Intersphinx files [#200@footnote{https://github.com/ghdl/ghdl/issues/200}]
56571 @itemize *
56573 @item 
56574 To decompress the inventory file: @code{curl -s http://ghdl.github.io/ghdl/objects.inv | tail -n+5 | openssl zlib -d}. From how-to-uncompress-zlib-data-in-unix@footnote{http://unix.stackexchange.com/questions/22834/how-to-uncompress-zlib-data-in-unix}.
56576 @item 
56577 External ref and link to section:
56579 @example
56580 :ref:`GHDL Roadmap <ghdl:CHANGE:Roadmap>`
56581 @end example
56583 @item 
56584 External ref to option (no link):
56586 @example
56587 :ghdl:option:`--ieee`
56588 :option:`ghdl:--ieee`
56589 @end example
56590 @end itemize
56591 @end table
56592 @end itemize
56594 @c # Load pre-defined aliases and graphical characters like © from docutils
56595 @c # <file> is used to denote the special path
56596 @c # <Python>\Lib\site-packages\docutils\parsers\rst\include
56598 @c This data file has been placed in the public domain.
56600 @c Derived from the Unicode character mappings available from
56601 @c <http://www.w3.org/2003/entities/xml/>.
56602 @c Processed by unicode2rstsubs.py, part of Docutils:
56603 @c <http://docutils.sourceforge.net>.
56605 @c This data file has been placed in the public domain.
56607 @c Derived from the Unicode character mappings available from
56608 @c <http://www.w3.org/2003/entities/xml/>.
56609 @c Processed by unicode2rstsubs.py, part of Docutils:
56610 @c <http://docutils.sourceforge.net>.
56612 @c # define a hard line break for HTML
56614 @node Scripts,Overview,Coding Style,Top
56615 @anchor{development/Scripts doc}@anchor{19c1}@anchor{development/Scripts dev-scripts}@anchor{19c2}@anchor{development/Scripts scripts}@anchor{19c3}
56616 @chapter Scripts
56619 @c #
56620 @c This files requires a Python module called 'Frontend-AutoProgram' to be
56621 @c located in the 'doc' root folder. It expects a variable 'parser' of type
56622 @c ArgumentParser.
56624 @menu
56625 * pnodes:: 
56626 * pnodespy:: 
56628 @end menu
56630 @node pnodes,pnodespy,,Scripts
56631 @anchor{development/Scripts cmdref-pnodes}@anchor{19c4}@anchor{development/Scripts pnodes}@anchor{19c5}
56632 @section pnodes
56635 Meta-grammar processor
56637 @example
56638 usage: pnodes [-h] [--field-file FIELD_FILE] [--kind-file KIND_FILE]
56639               [--node-file NODE_FILE] [--template-file TEMPLATE_FILE]
56640               [--meta-basename META_BASENAME] [--kind-type KIND_TYPE]
56641               [--kind-prefix KIND_PREFIX]
56642               [--kind-range-prefix KIND_RANGE_PREFIX] [--node-type NODE_TYPE]
56643               [--keep-order]
56644               @{disp-nodes,disp-kinds,disp-formats,disp-funcs,disp-types,get_format,body,meta_specs,meta_body,class-kinds,libghdl-nodes,libghdl-meta,libghdl-names,libghdl-tokens,libghdl-elocs,libghdl-errorout@}
56645 @end example
56647 @menu
56648 * positional arguments:: 
56649 * optional arguments: optional arguments<2>. 
56651 @end menu
56653 @node positional arguments,optional arguments<2>,,pnodes
56654 @anchor{development/Scripts positional-arguments}@anchor{19c6}
56655 @subsection positional arguments
56658 @geindex pnodes command line option; action
56659 @anchor{development/Scripts cmdoption-pnodes-arg-action}@anchor{19c7}
56660 @deffn {Option} action
56661 @end deffn
56663 @node optional arguments<2>,,positional arguments,pnodes
56664 @anchor{development/Scripts optional-arguments}@anchor{19c8}
56665 @subsection optional arguments
56668 @geindex pnodes command line option; -h
56669 @geindex pnodes command line option; --help
56670 @anchor{development/Scripts cmdoption-pnodes-h}@anchor{19c9}@anchor{development/Scripts cmdoption-pnodes-help}@anchor{19ca}
56671 @deffn {Option} @w{-}h, @w{-}@w{-}help
56673 show this help message and exit
56674 @end deffn
56676 @geindex pnodes command line option; --field-file
56677 @anchor{development/Scripts cmdoption-pnodes-field-file}@anchor{19cb}
56678 @deffn {Option} @w{-}@w{-}field@w{-}file <field_file>
56680 specify file which defines fields
56681 @end deffn
56683 @geindex pnodes command line option; --kind-file
56684 @anchor{development/Scripts cmdoption-pnodes-kind-file}@anchor{19cc}
56685 @deffn {Option} @w{-}@w{-}kind@w{-}file <kind_file>
56687 specify file which defines nodes kind
56688 @end deffn
56690 @geindex pnodes command line option; --node-file
56691 @anchor{development/Scripts cmdoption-pnodes-node-file}@anchor{19cd}
56692 @deffn {Option} @w{-}@w{-}node@w{-}file <node_file>
56694 specify file which defines nodes and methods
56695 @end deffn
56697 @geindex pnodes command line option; --template-file
56698 @anchor{development/Scripts cmdoption-pnodes-template-file}@anchor{19ce}
56699 @deffn {Option} @w{-}@w{-}template@w{-}file <template_file>
56701 specify template body file
56702 @end deffn
56704 @geindex pnodes command line option; --meta-basename
56705 @anchor{development/Scripts cmdoption-pnodes-meta-basename}@anchor{19cf}
56706 @deffn {Option} @w{-}@w{-}meta@w{-}basename <meta_basename>
56708 specify base name of meta files
56709 @end deffn
56711 @geindex pnodes command line option; --kind-type
56712 @anchor{development/Scripts cmdoption-pnodes-kind-type}@anchor{19d0}
56713 @deffn {Option} @w{-}@w{-}kind@w{-}type <kind_type>
56715 name of kind type
56716 @end deffn
56718 @geindex pnodes command line option; --kind-prefix
56719 @anchor{development/Scripts cmdoption-pnodes-kind-prefix}@anchor{19d1}
56720 @deffn {Option} @w{-}@w{-}kind@w{-}prefix <kind_prefix>
56722 prefix for kind literals
56723 @end deffn
56725 @geindex pnodes command line option; --kind-range-prefix
56726 @anchor{development/Scripts cmdoption-pnodes-kind-range-prefix}@anchor{19d2}
56727 @deffn {Option} @w{-}@w{-}kind@w{-}range@w{-}prefix <kind_range_prefix>
56729 prefix for kind subtype (range)
56730 @end deffn
56732 @geindex pnodes command line option; --node-type
56733 @anchor{development/Scripts cmdoption-pnodes-node-type}@anchor{19d3}
56734 @deffn {Option} @w{-}@w{-}node@w{-}type <node_type>
56736 name of the node type
56737 @end deffn
56739 @geindex pnodes command line option; --keep-order
56740 @anchor{development/Scripts cmdoption-pnodes-keep-order}@anchor{19d4}
56741 @deffn {Option} @w{-}@w{-}keep@w{-}order
56743 keep field order of nodes
56744 @end deffn
56746 @node pnodespy,,pnodes,Scripts
56747 @anchor{development/Scripts cmdref-pnodespy}@anchor{19d5}@anchor{development/Scripts pnodespy}@anchor{19d6}
56748 @section pnodespy
56751 Meta-grammar processor
56753 @example
56754 usage: pnodespy [-h] [--field-file FIELD_FILE] [--kind-file KIND_FILE]
56755                 [--node-file NODE_FILE] [--template-file TEMPLATE_FILE]
56756                 [--meta-basename META_BASENAME] [--kind-type KIND_TYPE]
56757                 [--kind-prefix KIND_PREFIX]
56758                 [--kind-range-prefix KIND_RANGE_PREFIX]
56759                 [--node-type NODE_TYPE] [--keep-order]
56760                 @{disp-nodes,disp-kinds,disp-formats,disp-funcs,disp-types,get_format,body,meta_specs,meta_body,class-kinds,libghdl-nodes,libghdl-meta,libghdl-names,libghdl-tokens,libghdl-elocs,libghdl-errorout@}
56761 @end example
56763 @menu
56764 * positional arguments: positional arguments<2>. 
56765 * optional arguments: optional arguments<3>. 
56767 @end menu
56769 @node positional arguments<2>,optional arguments<3>,,pnodespy
56770 @anchor{development/Scripts id1}@anchor{19d7}
56771 @subsection positional arguments
56774 @geindex pnodespy command line option; action
56775 @anchor{development/Scripts cmdoption-pnodespy-arg-action}@anchor{19d8}
56776 @deffn {Option} action
56777 @end deffn
56779 @node optional arguments<3>,,positional arguments<2>,pnodespy
56780 @anchor{development/Scripts id2}@anchor{19d9}
56781 @subsection optional arguments
56784 @geindex pnodespy command line option; -h
56785 @geindex pnodespy command line option; --help
56786 @anchor{development/Scripts cmdoption-pnodespy-h}@anchor{19da}@anchor{development/Scripts cmdoption-pnodespy-help}@anchor{19db}
56787 @deffn {Option} @w{-}h, @w{-}@w{-}help
56789 show this help message and exit
56790 @end deffn
56792 @geindex pnodespy command line option; --field-file
56793 @anchor{development/Scripts cmdoption-pnodespy-field-file}@anchor{19dc}
56794 @deffn {Option} @w{-}@w{-}field@w{-}file <field_file>
56796 specify file which defines fields
56797 @end deffn
56799 @geindex pnodespy command line option; --kind-file
56800 @anchor{development/Scripts cmdoption-pnodespy-kind-file}@anchor{19dd}
56801 @deffn {Option} @w{-}@w{-}kind@w{-}file <kind_file>
56803 specify file which defines nodes kind
56804 @end deffn
56806 @geindex pnodespy command line option; --node-file
56807 @anchor{development/Scripts cmdoption-pnodespy-node-file}@anchor{19de}
56808 @deffn {Option} @w{-}@w{-}node@w{-}file <node_file>
56810 specify file which defines nodes and methods
56811 @end deffn
56813 @geindex pnodespy command line option; --template-file
56814 @anchor{development/Scripts cmdoption-pnodespy-template-file}@anchor{19df}
56815 @deffn {Option} @w{-}@w{-}template@w{-}file <template_file>
56817 specify template body file
56818 @end deffn
56820 @geindex pnodespy command line option; --meta-basename
56821 @anchor{development/Scripts cmdoption-pnodespy-meta-basename}@anchor{19e0}
56822 @deffn {Option} @w{-}@w{-}meta@w{-}basename <meta_basename>
56824 specify base name of meta files
56825 @end deffn
56827 @geindex pnodespy command line option; --kind-type
56828 @anchor{development/Scripts cmdoption-pnodespy-kind-type}@anchor{19e1}
56829 @deffn {Option} @w{-}@w{-}kind@w{-}type <kind_type>
56831 name of kind type
56832 @end deffn
56834 @geindex pnodespy command line option; --kind-prefix
56835 @anchor{development/Scripts cmdoption-pnodespy-kind-prefix}@anchor{19e2}
56836 @deffn {Option} @w{-}@w{-}kind@w{-}prefix <kind_prefix>
56838 prefix for kind literals
56839 @end deffn
56841 @geindex pnodespy command line option; --kind-range-prefix
56842 @anchor{development/Scripts cmdoption-pnodespy-kind-range-prefix}@anchor{19e3}
56843 @deffn {Option} @w{-}@w{-}kind@w{-}range@w{-}prefix <kind_range_prefix>
56845 prefix for kind subtype (range)
56846 @end deffn
56848 @geindex pnodespy command line option; --node-type
56849 @anchor{development/Scripts cmdoption-pnodespy-node-type}@anchor{19e4}
56850 @deffn {Option} @w{-}@w{-}node@w{-}type <node_type>
56852 name of the node type
56853 @end deffn
56855 @geindex pnodespy command line option; --keep-order
56856 @anchor{development/Scripts cmdoption-pnodespy-keep-order}@anchor{19e5}
56857 @deffn {Option} @w{-}@w{-}keep@w{-}order
56859 keep field order of nodes
56860 @end deffn
56862 @c # Load pre-defined aliases and graphical characters like © from docutils
56863 @c # <file> is used to denote the special path
56864 @c # <Python>\Lib\site-packages\docutils\parsers\rst\include
56866 @c This data file has been placed in the public domain.
56868 @c Derived from the Unicode character mappings available from
56869 @c <http://www.w3.org/2003/entities/xml/>.
56870 @c Processed by unicode2rstsubs.py, part of Docutils:
56871 @c <http://docutils.sourceforge.net>.
56873 @c This data file has been placed in the public domain.
56875 @c Derived from the Unicode character mappings available from
56876 @c <http://www.w3.org/2003/entities/xml/>.
56877 @c Processed by unicode2rstsubs.py, part of Docutils:
56878 @c <http://docutils.sourceforge.net>.
56880 @c # define a hard line break for HTML
56882 @node Overview,Front-end,Scripts,Top
56883 @anchor{internals/index doc}@anchor{19e6}@anchor{internals/index int-overview}@anchor{143}@anchor{internals/index overview}@anchor{19e7}
56884 @chapter Overview
56888 @float Figure
56890 @image{GHDL-figures/internals,418.0pt,,,png}
56892 @caption{Architecture overview of the GHDL toolkit (CLI, libghdl, pyGHDL and ghdl-yosys-plugin).}
56894 @end float
56897 @cite{GHDL} is architectured like a traditionnal compiler. It has:
56900 @itemize *
56902 @item 
56903 a driver (sources in src/ghdldrv@footnote{https://github.com/ghdl/ghdl/blob/master/src/ghdldrv}) to call the programs (compiler, assembler, linker) if needed.
56905 @item 
56906 a runtime library named GRT (sources in src/grt@footnote{https://github.com/ghdl/ghdl/blob/master/src/grt}) to help execution at run-time.
56907 This ensures that execution is cycle-accurate.
56909 @item 
56910 a front-end (sources in src/vhdl@footnote{https://github.com/ghdl/ghdl/blob/master/src/vhdl}) to parse and analyse VHDL.
56911 See @ref{19e8,,Front-end} and @ref{19e9,,AST}.
56913 @item 
56914 multiple back-ends to generate either code or netlists.
56917 @itemize *
56919 @item 
56920 Three for @ref{ca,,simulation} (sources are in src/ortho@footnote{https://github.com/ghdl/ghdl/blob/master/src/ortho}): mcode, LLVM and GCC.
56921 See @ref{51,,Building GHDL from Sources}.
56923 @item 
56924 Two for @ref{49,,synthesis} (sources in src/synth@footnote{https://github.com/ghdl/ghdl/blob/master/src/synth}):
56925 @ref{13d,,–synth} and @ref{6c,,Yosys plugin}.
56926 @end itemize
56927 @end itemize
56929 The architecture is modular and some of the components are available through the shared library @code{libghdl}.
56930 @code{libghdl} is used by the @ref{6c,,Yosys plugin}, the Language Server Protocol (LSP) server, and to fill the data of
56931 pyVHDLModel (see The pyVHDLModel Documentation@footnote{https://vhdl.github.io/pyVHDLModel/index.html}).
56933 The main work with regard to understanding the VHDL language is performed by the front-end, which is documented in the
56934 next section.
56936 @c # Load pre-defined aliases and graphical characters like © from docutils
56937 @c # <file> is used to denote the special path
56938 @c # <Python>\Lib\site-packages\docutils\parsers\rst\include
56940 @c This data file has been placed in the public domain.
56942 @c Derived from the Unicode character mappings available from
56943 @c <http://www.w3.org/2003/entities/xml/>.
56944 @c Processed by unicode2rstsubs.py, part of Docutils:
56945 @c <http://docutils.sourceforge.net>.
56947 @c This data file has been placed in the public domain.
56949 @c Derived from the Unicode character mappings available from
56950 @c <http://www.w3.org/2003/entities/xml/>.
56951 @c Processed by unicode2rstsubs.py, part of Docutils:
56952 @c <http://docutils.sourceforge.net>.
56954 @c # define a hard line break for HTML
56956 @node Front-end,AST,Overview,Top
56957 @anchor{internals/Frontend doc}@anchor{19ea}@anchor{internals/Frontend front-end}@anchor{19eb}@anchor{internals/Frontend int-frontend}@anchor{19e8}
56958 @chapter Front-end
56961 Input files (or source files) are read by @cite{files_map.ad[sb]}. Only regular files can be
56962 read, because they are read entirely before being scanned. This simplifies the scanner,
56963 but this also allows to have a unique index for each character in any file.
56964 Therefore the source location is a simple 32-bit integer whose type is @cite{Location_Type}.
56965 From the location, @cite{files_map} can deduce the source file (type is @cite{Source_File_Entry})
56966 and then the offset in the source file.  There is a line table for each source file in
56967 order to speed-up the conversion from file offset to line number and column number.
56969 The scanner (file @code{vhdl-scanner.ad[sb]}) reads the source files and creates token
56970 from them.  The tokens are defined in file @code{vhdl-tokens.ads}.  Tokens are scanned
56971 one by one, so the scanner doesn’t keep in memory the previous token.  Integer or
56972 floating point numbers are special tokens because beside the token itself there is
56973 also a variable for the value of the number.
56975 For identifiers there is a table containing all identifiers.  This is implemented by
56976 file @code{name_table.ad[sb]}.  Each identifier is associated to a 32-bit number
56977 (they are internalized).  So the number is used to reference an identifier.  About
56978 one thousand identifiers are predefined (by @code{std_names.ad[sb]}).  Most of
56979 them are reserved identifiers (or keywords).  When the scanner find an identifier, it
56980 checks if it is a keyword.  In that case it changes the token to the keyword token.
56982 The procedure @cite{scan} is called to get the next token.  The location of the token and
56983 the location after the token are available to store it in the parser tree.
56985 The main client of the scanner is the parser.
56987 @c # Load pre-defined aliases and graphical characters like © from docutils
56988 @c # <file> is used to denote the special path
56989 @c # <Python>\Lib\site-packages\docutils\parsers\rst\include
56991 @c This data file has been placed in the public domain.
56993 @c Derived from the Unicode character mappings available from
56994 @c <http://www.w3.org/2003/entities/xml/>.
56995 @c Processed by unicode2rstsubs.py, part of Docutils:
56996 @c <http://docutils.sourceforge.net>.
56998 @c This data file has been placed in the public domain.
57000 @c Derived from the Unicode character mappings available from
57001 @c <http://www.w3.org/2003/entities/xml/>.
57002 @c Processed by unicode2rstsubs.py, part of Docutils:
57003 @c <http://docutils.sourceforge.net>.
57005 @c # define a hard line break for HTML
57007 @node AST,Run Time Information RTI,Front-end,Top
57008 @anchor{internals/AST doc}@anchor{19ec}@anchor{internals/AST ast}@anchor{19ed}@anchor{internals/AST int-ast}@anchor{19e9}
57009 @chapter AST
57012 @menu
57013 * Introduction:: 
57014 * The AST in GHDL:: 
57015 * Why a meta-model ?:: 
57016 * Dealing with ownership:: 
57017 * Node Type:: 
57019 @end menu
57021 @node Introduction,The AST in GHDL,,AST
57022 @anchor{internals/AST introduction}@anchor{19ee}
57023 @section Introduction
57026 The AST is the main data structure of the front-end and is created by the parser.
57028 AST stands for Abstract Syntax Tree.
57030 This is a tree because it is a graph with nodes and links between nodes.  As the graph
57031 is acyclic and each node but the root has only one parent (the link that points to it).
57032 In the front-end there is only one root which represents the set of libraries.
57034 The tree is a syntax tree because it follows the grammar of the VHDL language: there
57035 is, for example, a node per operation (like @cite{or}, @cite{and} or @cite{+}), a node per declaration,
57036 a node per statement, and a node per design unit (like entity or architecture).  The front-end needs to represent the source file using the grammar because most of the
57037 VHDL rules are defined according to the grammar.
57039 Finally, the tree is abstract because it is an abstraction of the source file.  Comments and layout aren’t kept in the syntax tree.  Furthermore, if you rename a
57040 declaration or change the value of a literal, the tree will have exactly the same
57041 shape.
57043 But we can also say that the tree is neither abstract, nor syntaxic and nor a tree.
57045 It is not abstract because it contains all the information from the source file
57046 (except comments) are available in the AST, inclusing the location.  So the source
57047 file can be reprinted (the name unparsed is also used) from the AST.  If a mechanism
57048 is also added to deal with comments, the source file can even be pretty-printed from
57049 the AST.
57051 It is not purely syntactic because the semantic analysis pass decorates the tree
57052 with semantic information.  For example, the type of each expression and sub-expression
57053 is computed.  This is necessary to detect some semantic errors like assigning an array
57054 to an integer.
57056 Finally, it is not a tree anymore because new links are added during semantic
57057 analysis.  Simple names are linked to their declaration.
57059 @node The AST in GHDL,Why a meta-model ?,Introduction,AST
57060 @anchor{internals/AST the-ast-in-ghdl}@anchor{19ef}
57061 @section The AST in GHDL
57064 The GHDL AST is described in file @code{vhdl-nodes.ads}.
57066 An interesting particularity about the AST is the presence of a
57067 meta-model.
57069 The meta-model is not formally described.  What the
57070 meta-meta-model would be is very simple: there are elements and attributes.  An
57071 element is composed of attributes, and an attribute is either a value
57072 (a flag, an integer, an enumeration) or a link to an element.
57074 (When someone wants to be clever, they often speak about meta-model in
57075 order to confuse you.  Don’t let them impress you.  The trick is to
57076 answer them with any sentence containing ‘meta-meta-model’).
57078 In the GHDL meta-model, there are only 3 elements:
57081 @itemize *
57083 @item 
57084 variable list of nodes (@cite{List}).  These are like vectors as the
57085 length can be changed.
57087 @item 
57088 Fixed lists of nodes (@cite{Flist}).  The length of a fixed list is defined at creation.
57090 @item 
57091 Nodes.  A node has a kind (@cite{Iir_Kind} which is also defined in the file), and fields.
57092 The kind is set at creation and cannot be changed, while fields can be.
57093 @end itemize
57095 Or without using the word “meta-model”, the AST is composed of nodes and
57096 lists.
57098 The meta-model describes the types of the attributes: most of them are
57099 either a node reference, a boolean flag or a enumerated type (like
57100 @cite{Iir_Staticness}).  But there are also links: a reference to another
57101 node or to a list.
57103 The accessors for the node are generated automatically by the python
57104 script @code{src/scripts/pnodes.py}.
57106 @node Why a meta-model ?,Dealing with ownership,The AST in GHDL,AST
57107 @anchor{internals/AST why-a-meta-model}@anchor{19f0}
57108 @section Why a meta-model ?
57111 All ASTs could have a meta-model, because the definition of elements
57112 and attributes is very generic.  But there is a detail: the definition
57113 of an element is static.  So for each node, the list of attributes and
57114 their types is static and each list is a list of the same element type.
57115 So there is no bag, nor dynamic typing.  This is per the definition of
57116 the meta-meta-model.
57118 But in GHDL there is an API at the meta-model level in file
57119 @code{vhdl-nodes_meta.ads}.  There is the list of all attribute types
57120 in enumeration @cite{Types_Enum}.  There is the list of all possible
57121 attributes in enumeration @cite{Fields_Enum}.  For a particular kind of
57122 node, you can get the list of fields with @cite{Get_Field} and for every
57123 type, there is API to get or set any field of any node.
57125 Having a meta-model API allows to build algorithm that deals with any
57126 node.  The dumper (in file @code{vhdl-disp_tree.ad[sb]}) is used to
57127 dump a node and possibly its sub-nodes.  This is very useful while
57128 debugging GHDL.  It is written using the meta-model, so it knows how to display
57129 a boolean and the various other enumerated types, and how to display a list.  To
57130 display a node, it just gets the kind of the type, prints the kind name and queries
57131 all the fields of the node.  There is nothing particular to a specific kind, so you
57132 don’t need to modify the dumper if you add a node.
57134 The dumper wouldn’t be a strong enough reason by itself to have a meta-model.  But
57135 the pass to create instances is a good one.  When a vhdl-2008 package is instantiated,
57136 at least the package declaration is created in the AST (this is needed because there
57137 are possibly new types).  And creating an instance using the meta-model is much
57138 simpler (and much more generic) that creating the instance using the nodes directly.
57139 The code to create instances is in files @code{vhdl-sem_inst.ad[sb]}.
57141 The meta-model API is mostly automatically generated by the python
57142 script.
57144 @node Dealing with ownership,Node Type,Why a meta-model ?,AST
57145 @anchor{internals/AST dealing-with-ownership}@anchor{19f1}
57146 @section Dealing with ownership
57149 The meta-model also structures the tree, because there is a notion of
57150 ownership: every element (but the root) has only one parent that owns
57151 it, and there are no cycle in the ownership.  So the tree is really a
57152 tree.
57154 That simplifies algorithms because it is easier to walk a tree than a
57155 graph.  It is also easier to free a sub-tree than a sub-graph.
57157 Getting a real tree from the parser might look obvious, but it is
57158 not.  Consider the following VHDL declaration:
57160 @example
57161 variable v1, v2 : std_logic_vector (1 downto 0) := "00";
57162 @end example
57164 Both variables @code{v1} and @code{v2} share the same type and the same
57165 initial value.  The GHDL AST uses two different strategies:
57168 @itemize *
57170 @item 
57171 For the type, there are two fields in the node:
57172 @code{subtype_indication} and @code{type}.  The @code{subtype_indication} is
57173 owned and set only on the first variable to the output of the
57174 parser.  The @code{type} field is a reference and set on all variables
57175 to the result of analysis of @code{subtype_indication}.
57177 @item 
57178 For the initial value, there is only one field @code{default_value}
57179 that is set on all variables.  But the ownership is controlled by a
57180 flag in the node (an attribute) named @code{is_ref}.  It is set to
57181 false on the first variable and true for the others.
57182 @end itemize
57184 The notion of ownership is highlighted by the Rust language, and
57185 indeed this is an important notion.  The implementation of the Rust
57186 AST has to be investigated.
57188 @node Node Type,,Dealing with ownership,AST
57189 @anchor{internals/AST node-type}@anchor{19f2}
57190 @section Node Type
57193 TBC: 32-bit, extensions.
57195 @c # Load pre-defined aliases and graphical characters like © from docutils
57196 @c # <file> is used to denote the special path
57197 @c # <Python>\Lib\site-packages\docutils\parsers\rst\include
57199 @c This data file has been placed in the public domain.
57201 @c Derived from the Unicode character mappings available from
57202 @c <http://www.w3.org/2003/entities/xml/>.
57203 @c Processed by unicode2rstsubs.py, part of Docutils:
57204 @c <http://docutils.sourceforge.net>.
57206 @c This data file has been placed in the public domain.
57208 @c Derived from the Unicode character mappings available from
57209 @c <http://www.w3.org/2003/entities/xml/>.
57210 @c Processed by unicode2rstsubs.py, part of Docutils:
57211 @c <http://docutils.sourceforge.net>.
57213 @c # define a hard line break for HTML
57215 @node Run Time Information RTI,GNATdoc,AST,Top
57216 @anchor{internals/RTI doc}@anchor{19f3}@anchor{internals/RTI run-time-information-rti}@anchor{19f4}
57217 @chapter Run Time Information (RTI)
57220 @cartouche
57221 @quotation Warning 
57222 This section was written while trying to understand how the RTI is
57223 organized. It almost certainly contains errors, and it likely
57224 won’t be updated with the codebase, so don’t belive any of the
57225 information here. Nevertheless, it may be helpful for a developer new
57226 to GHDL trying to understand the organization of the RTI.
57227 @end quotation
57228 @end cartouche
57230 @menu
57231 * Useful Definitions:: 
57232 * RTI Nodes / RTII Nodes:: 
57234 @end menu
57236 @node Useful Definitions,RTI Nodes / RTII Nodes,,Run Time Information RTI
57237 @anchor{internals/RTI useful-definitions}@anchor{19f5}
57238 @section Useful Definitions
57243 @quotation
57245 Run Time Information. The information that is used when simulating the design.
57246 @end quotation
57248 RTIN
57250 @quotation
57252 Run Time Information Node. The design is organized into a directed
57253 graph where the architectures, signals and statements are represented
57254 as nodes on the graph. This graph can be cyclic since an architecture
57255 may be instantiated many times, and could be recursively
57256 instantiated.
57257 @end quotation
57259 Context
57261 @quotation
57263 The context of a node is the position in the elaborated design. For
57264 example a architecture might be instantiated 4 times in a design, but
57265 will have a single RTI node. The data related to that node but
57266 specfic to particular instantiation has an address in memory. A
57267 context object (@cite{Rti_Context}) bundles an RTI node with an address for
57268 it’s instantiation data.  If this RTI node references another RTI node we
57269 can find the relevant instantiation data for that node by considering the
57270 context.
57271 @end quotation
57273 RTII
57275 @quotation
57277 Run Time Instance Information. This is a record that groups an RTI
57278 node with any other data necessary to specify a particular
57279 instantiation. The RTII objects create a tree that represents the
57280 elaborated design. Currently they are only implemented for RTIN nodes
57281 of signals, ports, generics, constants and their subcomponents.
57282 @end quotation
57284 @node RTI Nodes / RTII Nodes,,Useful Definitions,Run Time Information RTI
57285 @anchor{internals/RTI rti-nodes-rtii-nodes}@anchor{19f6}
57286 @section RTI Nodes / RTII Nodes
57289 All RTI node records have a @cite{Ghdl_Rtin_Common} record as their first
57290 element. This record contains the following elements:
57292 Kind : Ghdl_Rtik
57294 @quotation
57296 This specified what kind of node it is. For example a @cite{process} and
57297 an @cite{entity} node are both represented by @cite{Ghdl_Rtin_Block} records
57298 but they are distinguished by having a different Kind.
57299 @end quotation
57301 Depth : Ghdl_Rti_Depth
57303 @quotation
57305 The depth indicates the relationship between the RTI Node and the RTI
57306 Context. Child nodes often just use the same Context as their parent,
57307 and the depth indicates how far up in the hierarchy you go to find
57308 where the Context is based.
57309 @end quotation
57311 Mode : Ghdl_Rti_U8
57313 @quotation
57316 @end quotation
57318 Max_Depth : Ghdl_Rti_Depth
57320 @quotation
57323 @end quotation
57325 It’s also useful to look at some of the other elements that commonly
57326 appear in the different RTI Node records.
57328 Loc : Ghdl_Rti_Loc
57330 @quotation
57332 This is an address offset. It tells us where the instantiation data
57333 for this node is located relative to the data of it’s parent.
57334 @end quotation
57336 Linecol : Ghdl_Index_Type
57338 @quotation
57340 Refers back to a location in the source code.
57341 @end quotation
57343 Parent : Ghdl_Rti_Access
57345 @quotation
57347 Points to the parent. This isn’t necessarily the parent in the RTI
57348 tree though. For example the @cite{Parent} of an architecture RTI node
57349 points at the entity node, however the parent in the tree is the
57350 instance RTI.
57351 @end quotation
57353 This document will now review that main classes of RTI Nodes.
57355 @menu
57356 * Architecture RTI (Ghdl_Rtin_Block): Architecture RTI Ghdl_Rtin_Block. 
57357 * Entity RTI (Ghdl_Rtin_Block): Entity RTI Ghdl_Rtin_Block. 
57358 * Other Blocks (Package/Process) (Ghdl_Rtin_Block): Other Blocks Package/Process Ghdl_Rtin_Block. 
57359 * if_generate / case_generate (Ghdl_Rtin_Block): if_generate / case_generate Ghdl_Rtin_Block. 
57360 * for_generate (Ghdl_Rtin_Generate): for_generate Ghdl_Rtin_Generate. 
57361 * instance (Ghdl_Rtin_Instance): instance Ghdl_Rtin_Instance. 
57362 * Port (Ghdl_Rtin_Object): Port Ghdl_Rtin_Object. 
57363 * Array Kinds:: 
57364 * Object_To_Base_Bound:: 
57365 * Array_Type (Ghdl_Rtin_Type_Array): Array_Type Ghdl_Rtin_Type_Array. 
57366 * Array Subtype (Ghdl_Rtin_Subtype_Array): Array Subtype Ghdl_Rtin_Subtype_Array. 
57367 * Port / Signal / Generic / Constant / Variable (Ghdl_Rtin_Object): Port / Signal / Generic / Constant / Variable Ghdl_Rtin_Object. 
57368 * Record Kinds:: 
57369 * Record Type (Ghdl_Rtin_Type_Record): Record Type Ghdl_Rtin_Type_Record. 
57370 * Record Type (Ghdl_Rtin_Type_Record): Record Type Ghdl_Rtin_Type_Record<2>. 
57371 * Element Type (Ghdl_Rtin_Element): Element Type Ghdl_Rtin_Element. 
57372 * Examples:: 
57374 @end menu
57376 @node Architecture RTI Ghdl_Rtin_Block,Entity RTI Ghdl_Rtin_Block,,RTI Nodes / RTII Nodes
57377 @anchor{internals/RTI architecture-rti-ghdl-rtin-block}@anchor{19f7}
57378 @subsection Architecture RTI (Ghdl_Rtin_Block)
57381 The architecture acts as a simple container for it’s children. Create
57382 the child tree nodes by looping through @cite{Ghdl_Rti_Block.Children} and
57383 keeping the context unchanged.
57385 The information about the generics and ports access the entity RTI
57386 nodes through @cite{Ghdl_Rti_Block.Parent} using the same context.
57388 The instantiation data of an architecture contains a single item, a
57389 pointer to the RTI node. This is necessary because it is necessary to
57390 store which of the possible architectures of this entity was
57391 instantiated.
57393 @node Entity RTI Ghdl_Rtin_Block,Other Blocks Package/Process Ghdl_Rtin_Block,Architecture RTI Ghdl_Rtin_Block,RTI Nodes / RTII Nodes
57394 @anchor{internals/RTI entity-rti-ghdl-rtin-block}@anchor{19f8}
57395 @subsection Entity RTI (Ghdl_Rtin_Block)
57398 The RTI of an entity is a @cite{Ghdl_Rti_Block} record (the same as the
57399 architecture) and uses the same context as the architecture. It is
57400 accessed via the architecture’s @cite{Parent} element. The generics and
57401 ports can be accessed as the children of the entity.
57403 @node Other Blocks Package/Process Ghdl_Rtin_Block,if_generate / case_generate Ghdl_Rtin_Block,Entity RTI Ghdl_Rtin_Block,RTI Nodes / RTII Nodes
57404 @anchor{internals/RTI other-blocks-package-process-ghdl-rtin-block}@anchor{19f9}
57405 @subsection Other Blocks (Package/Process) (Ghdl_Rtin_Block)
57408 The block just loops over it’s children.
57410 @node if_generate / case_generate Ghdl_Rtin_Block,for_generate Ghdl_Rtin_Generate,Other Blocks Package/Process Ghdl_Rtin_Block,RTI Nodes / RTII Nodes
57411 @anchor{internals/RTI if-generate-case-generate-ghdl-rtin-block}@anchor{19fa}
57412 @subsection if_generate / case_generate (Ghdl_Rtin_Block)
57415 If-Generate and Case-Generate statements are represented with
57416 @cite{Ghdl_Rtin_Block} records with Kind @cite{Ghdl_Rtik_If_Generate} and
57417 @cite{Ghdl_Rtik_Case_Generate}.
57419 Their children are all of Kind @cite{Ghdl_Rtik_Body}, and represent the
57420 different possible blocks that could be selected.
57422 The instantiation data of a if_generate or case_generate RTI contains two items:
57423 1) A pointer to the context of the selected generate body (instance_pointer).
57424 2) The index of the selected child (block_id)
57426 The child node is then created from the RTI node
57427 @cite{Ghdl_Rtik_Body.Children(block_id)} combined with the instantiation data given by
57428 @cite{instance_pointer}.
57430 @node for_generate Ghdl_Rtin_Generate,instance Ghdl_Rtin_Instance,if_generate / case_generate Ghdl_Rtin_Block,RTI Nodes / RTII Nodes
57431 @anchor{internals/RTI for-generate-ghdl-rtin-generate}@anchor{19fb}
57432 @subsection for_generate (Ghdl_Rtin_Generate)
57435 For-Generate statements are represented with @cite{Ghdl_Rtin_Generate}
57436 records with Kind @cite{Ghdl_Rtik_For_Generate}.
57438 Their RTI-node structure is different from the @cite{Ghdl_Rtin_Block}
57439 record in that rather than having @cite{Nbr_Child} and @cite{Children} elements,
57440 it has:
57442 Child : Ghdl_Rti_Access
57444 @quotation
57446 A pointer to the generate body node that is their only child.
57447 @end quotation
57449 Size : Ghdl_Index_Type
57451 @quotation
57453 The amount of memory requrired for the context of their child.
57454 @end quotation
57456 The Child element is a generate body. There is only a single RTI-node
57457 structure which Child points to, however a different context is used
57458 each time we go around the for-generate loop.
57460 The context of a for_generate RTI contains a single item: An address
57461 which points at the contexts for it’s children.
57463 Each time we go around the for generate loop we increment the address
57464 of the context by @cite{Size} so we looking at the correct context for that
57465 instantiation of the contexts of the loop.
57467 One complexity of the for-generate is finding the number of times that
57468 we go around the loop. The first element in the child generate body is
57469 an iterator. That iterator has a type and we can get the bounds of
57470 that type by passing it the local context. The type of the iterator
57471 for the for-generate loop is implicitly created and placed directly
57472 before the for_generate block, so using the local context will work.
57473 There might be a bug if the for-generate loop uses a type that wasn’t
57474 defined implicitly.
57476 @node instance Ghdl_Rtin_Instance,Port Ghdl_Rtin_Object,for_generate Ghdl_Rtin_Generate,RTI Nodes / RTII Nodes
57477 @anchor{internals/RTI instance-ghdl-rtin-instance}@anchor{19fc}
57478 @subsection instance (Ghdl_Rtin_Instance)
57481 An instantiation of an entity is represented by a @cite{Ghdl_Rtin_Instance}
57482 node with Kind @cite{Ghdl_Rtik_Instance}.
57484 The context contains a single item, which is a pointer to the context
57485 of the architecture. The architecture context also contains a single
57486 item, which is a pointer to the architecture RTI Node.
57488 @node Port Ghdl_Rtin_Object,Array Kinds,instance Ghdl_Rtin_Instance,RTI Nodes / RTII Nodes
57489 @anchor{internals/RTI port-ghdl-rtin-object}@anchor{19fd}
57490 @subsection Port (Ghdl_Rtin_Object)
57493 @node Array Kinds,Object_To_Base_Bound,Port Ghdl_Rtin_Object,RTI Nodes / RTII Nodes
57494 @anchor{internals/RTI array-kinds}@anchor{19fe}
57495 @subsection Array Kinds
57499 @table @asis
57501 @item Ghdl_Rtik_Type_Array
57503 A VHDL array where the range is not specified.
57505 @item Ghdl_Rtik_Subtype_Array
57507 A VHDL array where the range is specified.
57508 A Type_Array together with the bounds.
57509 @end table
57511 @node Object_To_Base_Bound,Array_Type Ghdl_Rtin_Type_Array,Array Kinds,RTI Nodes / RTII Nodes
57512 @anchor{internals/RTI object-to-base-bound}@anchor{19ff}
57513 @subsection Object_To_Base_Bound
57516 This function takes an object type and an object’s static context
57517 location and returns the complex context location and the bounds.
57519 When the object is static the bounds is null (because the bounds
57520 are held in the type definition) and the complex context is the
57521 same as the static context.
57523 When the object is complex the bounds is null, and the static
57524 context location contains a pointer to the complex context
57525 location.
57527 When the object is unbound the static context contains a @cite{Ghdl_Uc_Array}
57528 record. The contains @cite{Bounds} which points to the bounds, and @cite{Base}
57529 which points to the complex context location.
57531 @node Array_Type Ghdl_Rtin_Type_Array,Array Subtype Ghdl_Rtin_Subtype_Array,Object_To_Base_Bound,RTI Nodes / RTII Nodes
57532 @anchor{internals/RTI array-type-ghdl-rtin-type-array}@anchor{1a00}
57533 @subsection Array_Type (Ghdl_Rtin_Type_Array)
57536 Contains Common and Name fields followed by:
57539 @table @asis
57541 @item Element : Ghdl_Rti_Access
57543 The type of the elements in the array.
57545 @item Nbr_Dim : Ghdl_Index_Type
57547 The number of dimensions in the array.
57548 Multidimensional arrays are not stored as arrays of arrays,
57549 but rather directly as multidimensional arrays.
57551 @item Indexes : Ghdl_Rti_Arr_Acc
57553 ??? This is an array of the indices for each dimension, but I don’t
57554 know what kind of object they are represented by yet.
57555 @end table
57557 Functions acting on types don’t seem to use context in the same way.
57558 The functions are often pass the RTI object, a context (of a object
57559 higher in the hierarcy, and a pointer to a local context (often called
57560 layout)).
57562 The context of an Array Type has a defined structure which is @cite{Ghdl_Uc_Array}.
57563 This contains a @cite{Base} and a @cite{Bounds} field.
57566 @table @asis
57568 @item Base : Address
57570 Points to the complex context of the object.
57572 @item Bounds : Address
57574 Points to the bounds of the array.
57575 @end table
57577 @node Array Subtype Ghdl_Rtin_Subtype_Array,Port / Signal / Generic / Constant / Variable Ghdl_Rtin_Object,Array_Type Ghdl_Rtin_Type_Array,RTI Nodes / RTII Nodes
57578 @anchor{internals/RTI array-subtype-ghdl-rtin-subtype-array}@anchor{1a01}
57579 @subsection Array Subtype (Ghdl_Rtin_Subtype_Array)
57582 Array subtypes are represented by the @cite{Ghdl_Rtin_Subtype_Composite}
57583 RTI node.
57584 The node contains the @cite{Common} and @cite{Name} fields, followed by
57587 @table @asis
57589 @item Basetype : Ghdl_Rti_Access
57591 A pointer to the RTI array type which it is a subtype of.
57593 @item Layout : Ghdl_Rti_Loc
57595 A pointer to the context of the subtype relative to the parent context.
57596 The layout contains:
57597 a value size, a signal sizes, and the bounds.
57598 @end table
57600 @node Port / Signal / Generic / Constant / Variable Ghdl_Rtin_Object,Record Kinds,Array Subtype Ghdl_Rtin_Subtype_Array,RTI Nodes / RTII Nodes
57601 @anchor{internals/RTI port-signal-generic-constant-variable-ghdl-rtin-object}@anchor{1a02}
57602 @subsection Port / Signal / Generic / Constant / Variable (Ghdl_Rtin_Object)
57605 The context of an object is found by taking offsetting the Context by
57606 the @cite{Loc} field on the object. The implementation often uses the same
57607 Context for a group of hierarhical signals, so that the determination
57608 of the location of the context of objects in the hierarchy must be
57609 found using a function such as @cite{Loc_To_Addr}.
57611 The @cite{Obj_Type} field of an object points at the type of the object.
57613 A signal definition can also include placing bounds on a unbounded
57614 type.
57616 The tree of an object can be created by pairing the hierarchy of types
57617 with the hierarchy of contexts.
57620 @table @asis
57622 @item If the type is a scalar type then the value of the object is found at:
57625 @table @asis
57627 @item If the object is a port or signal then the only item in the context
57629 is a pointer to the signal object.  The first item in the signal object
57630 is a pointer to the value.
57632 @item If the object is a constant, generic or variable then the context
57634 contains a pointer to the value itself.
57635 @end table
57637 @item If the type is an unbound array:
57639 We must be at the top level of a hierarchical object.
57640 The context contains a pointer to the first element context,
57641 and a pointer to the bounds.
57643 @item If the type is a static array:
57645 The context is the same as the context of the first element.
57646 The bounds are given in the layout of the type (composite).
57648 @item If the type is a complex array:
57650 The context contains a pointer to the context of the first element.
57651 Because the size of the context cannot be determined at compile time
57652 this layer of indirection is necessary.
57653 @end table
57655 @node Record Kinds,Record Type Ghdl_Rtin_Type_Record,Port / Signal / Generic / Constant / Variable Ghdl_Rtin_Object,RTI Nodes / RTII Nodes
57656 @anchor{internals/RTI record-kinds}@anchor{1a03}
57657 @subsection Record Kinds
57660 Ghdl_Rtik_Type_Record
57662 @quotation
57664 A standard VHDL record.
57665 @end quotation
57667 Ghdl_Rtik_Type_Unbounded_Record
57669 @quotation
57671 A vhdl record containing an unbounded array (directory or indirectly).
57672 @end quotation
57674 Ghdl_Rtik_Subtype_Record
57676 @quotation
57678 A subtype of an unbounded record where all arrays are not bounded.
57679 @end quotation
57681 Ghdl_Rtik_Subtype_Unbounded_Record
57683 @quotation
57685 A subtype of an unbounded record where some but not all of the previously
57686 unbound arrays have been bound.
57687 @end quotation
57689 @node Record Type Ghdl_Rtin_Type_Record,Record Type Ghdl_Rtin_Type_Record<2>,Record Kinds,RTI Nodes / RTII Nodes
57690 @anchor{internals/RTI record-type-ghdl-rtin-type-record}@anchor{1a04}
57691 @subsection Record Type (Ghdl_Rtin_Type_Record)
57694 Can have Kind of @cite{Ghdl_Rtik_Type_Record} or @cite{Ghdl_Rtik_Type_Unbounded_Record}.
57695 The record elements after @cite{Common} and @cite{Name} are:
57697 Nbrel : Ghdl_Index_Type
57699 @quotation
57701 Number elements in the record.
57702 @end quotation
57704 Elements : Ghdl_Rti_Arr_Acc;
57706 @quotation
57708 The RTI nodes of the element defintions.
57709 @end quotation
57711 Layout : Ghdl_Rti_Loc
57713 @quotation
57715 The layout is the relative address that the layout/bounds information
57716 of the elements will be relative to.
57717 @end quotation
57719 @node Record Type Ghdl_Rtin_Type_Record<2>,Element Type Ghdl_Rtin_Element,Record Type Ghdl_Rtin_Type_Record,RTI Nodes / RTII Nodes
57720 @anchor{internals/RTI id1}@anchor{1a05}
57721 @subsection Record Type (Ghdl_Rtin_Type_Record)
57724 For an unbounded record the Layout is not used, but rather a @cite{Bounds} must be
57725 given.
57727 @node Element Type Ghdl_Rtin_Element,Examples,Record Type Ghdl_Rtin_Type_Record<2>,RTI Nodes / RTII Nodes
57728 @anchor{internals/RTI element-type-ghdl-rtin-element}@anchor{1a06}
57729 @subsection Element Type (Ghdl_Rtin_Element)
57732 The record elements after @cite{Common} and @cite{Name} are:
57735 @table @asis
57737 @item Eltype : Ghdl_Rti_Access
57739 The RTI node representing the type of the element.
57741 @item Val_Off : Ghdl_Index_Type
57743 For static element the offset is in the record.
57744 For complex element the offset is in the type layout or object layout.
57745 This is the offset for the value for generics or constants.
57747 @item Sig_Off : Ghdl_Index_Type
57749 This is the offset for the value wrapper in signals or ports.
57751 @item Layout_Off : Ghdl_Index_Type;
57753 For unbounded records: element layout offset in the layout.
57754 The layout is stores all the bounds for the various elements
57755 when the unbounded record is given bounds.
57756 @end table
57758 @node Examples,,Element Type Ghdl_Rtin_Element,RTI Nodes / RTII Nodes
57759 @anchor{internals/RTI examples}@anchor{1a07}
57760 @subsection Examples
57763 @example
57764 library ieee ;
57765 use ieee.std_logic_1164.all;
57767 package mypkg is
57769   type mytype is record
57770     a: std_logic;
57771     b: std_logic;
57772   end record;
57774 end package;
57776 library ieee ;
57777 use ieee.std_logic_1164.all;
57778 use work.mypkg.all;
57780 entity myentity is
57781   port(
57782     x: in mytype
57783     );
57784 end myentity;
57786 architecture arch of myentity is
57787 begin
57788 end arch;
57789 @end example
57791 What will be the structure of the RTI for the port @cite{myentity.x}?
57793 The architecture has a context.
57794 Address of the architecture is A
57796 The entity has the same context.
57797 Address of the entity is A.
57799 The child on the entity is the port.
57800 Address of the port is A + 16.
57802 A port is a record ‘x’
57803 Address of the record value is A + 16.
57805 The record contains ‘a’ a std_logic vector.
57806 Address is A + 16.
57808 The record contains ‘b’ a std_logic_vector.
57809 Address is A + 24
57811 @example
57812 library ieee ;
57813 use ieee.std_logic_1164.all;
57815 package mypkg is
57817   type mytype is record
57818     a: std_logic_vector(1 downto 0);
57819     b: std_logic_vector(1 downto 0);
57820   end record;
57822 end package;
57824 library ieee ;
57825 use ieee.std_logic_1164.all;
57826 use work.mypkg.all;
57828 entity myentity is
57829   port(
57830     x: in mytype
57831     );
57832 end myentity;
57834 architecture arch of myentity is
57835 begin
57836 end arch;
57837 @end example
57839 @example
57840 - Architecture (A)
57841   - Entity (A)
57842     - port x (A+16)
57843       - x.a (A+16)
57844       - x.a(?) (A+16)
57845       - x.a(?) (A+24)
57846       - x.b (A+32)
57847       - x.b(?) (A+40)
57848       - x.b(?) (A+48)
57849 @end example
57851 @example
57852 library ieee ;
57853 use ieee.std_logic_1164.all;
57855 entity myentity is
57856   generic (
57857     WIDTH: natural := 2
57858     );
57859   port(
57860     x: in std_logic_vector(WIDTH-1 downto 0)
57861     );
57862 end myentity;
57864 architecture arch of myentity is
57865 begin
57866 end arch;
57867 @end example
57869 @example
57870 - Architecture (A)
57871   - Entity (A)
57872     - generic WIDTH (A+16)
57873     - port x (A+48) content of address (A+48) is B
57874       - type information
57875         analyze a type with context (address=A, rti=entity)
57876         layout is located at A+20
57877         so bounds is located at A+28
57878       - x subtype array (B)
57879         - x(?) (B)
57880         - x(?) (B+8)
57881 @end example
57883 @c # Load pre-defined aliases and graphical characters like © from docutils
57884 @c # <file> is used to denote the special path
57885 @c # <Python>\Lib\site-packages\docutils\parsers\rst\include
57887 @c This data file has been placed in the public domain.
57889 @c Derived from the Unicode character mappings available from
57890 @c <http://www.w3.org/2003/entities/xml/>.
57891 @c Processed by unicode2rstsubs.py, part of Docutils:
57892 @c <http://docutils.sourceforge.net>.
57894 @c This data file has been placed in the public domain.
57896 @c Derived from the Unicode character mappings available from
57897 @c <http://www.w3.org/2003/entities/xml/>.
57898 @c Processed by unicode2rstsubs.py, part of Docutils:
57899 @c <http://docutils.sourceforge.net>.
57901 @c # define a hard line break for HTML
57903 @c # This file is a placeholder and will be replaced
57905 @node GNATdoc,Index<2>,Run Time Information RTI,Top
57906 @anchor{gnatdoc/index doc}@anchor{1a08}@anchor{gnatdoc/index gnatdoc}@anchor{1a09}
57907 @chapter GNATdoc
57910 @c # Load pre-defined aliases and graphical characters like © from docutils
57911 @c # <file> is used to denote the special path
57912 @c # <Python>\Lib\site-packages\docutils\parsers\rst\include
57914 @c This data file has been placed in the public domain.
57916 @c Derived from the Unicode character mappings available from
57917 @c <http://www.w3.org/2003/entities/xml/>.
57918 @c Processed by unicode2rstsubs.py, part of Docutils:
57919 @c <http://docutils.sourceforge.net>.
57921 @c This data file has been placed in the public domain.
57923 @c Derived from the Unicode character mappings available from
57924 @c <http://www.w3.org/2003/entities/xml/>.
57925 @c Processed by unicode2rstsubs.py, part of Docutils:
57926 @c <http://docutils.sourceforge.net>.
57928 @c # define a hard line break for HTML
57930 @c # This file is a placeholder and will be replaced
57932 @node Index<2>,Python Module Index<2>,GNATdoc,Top
57933 @anchor{genindex doc}@anchor{1a0a}@anchor{genindex index}@anchor{1a0b}
57934 @chapter Index
57937 @c # Load pre-defined aliases and graphical characters like © from docutils
57938 @c # <file> is used to denote the special path
57939 @c # <Python>\Lib\site-packages\docutils\parsers\rst\include
57941 @c This data file has been placed in the public domain.
57943 @c Derived from the Unicode character mappings available from
57944 @c <http://www.w3.org/2003/entities/xml/>.
57945 @c Processed by unicode2rstsubs.py, part of Docutils:
57946 @c <http://docutils.sourceforge.net>.
57948 @c This data file has been placed in the public domain.
57950 @c Derived from the Unicode character mappings available from
57951 @c <http://www.w3.org/2003/entities/xml/>.
57952 @c Processed by unicode2rstsubs.py, part of Docutils:
57953 @c <http://docutils.sourceforge.net>.
57955 @c # define a hard line break for HTML
57957 @c This file is a placeholder and will be replaced
57959 @node Python Module Index<2>,Python Module Index,Index<2>,Top
57960 @anchor{py-modindex doc}@anchor{1a0c}@anchor{py-modindex python-module-index}@anchor{1a0d}
57961 @chapter Python Module Index
57964 @node Python Module Index,Index,Python Module Index<2>,Top
57965 @unnumbered Python Module Index
57968 @menu
57969 * pyGHDL: 0. 
57970 * pyGHDL.cli: 1. 
57971 * pyGHDL.cli.dom: 2. 
57972 * pyGHDL.cli.lsp: 3. 
57973 * pyGHDL.dom: 4. 
57974 * pyGHDL.dom._Translate: 5. 
57975 * pyGHDL.dom._Utils: 6. 
57976 * pyGHDL.dom.Aggregates: 7. 
57977 * pyGHDL.dom.Attribute: 8. 
57978 * pyGHDL.dom.Concurrent: 9. 
57979 * pyGHDL.dom.DesignUnit: a. 
57980 * pyGHDL.dom.Expression: b. 
57981 * pyGHDL.dom.formatting: c. 
57982 * pyGHDL.dom.formatting.prettyprint: d. 
57983 * pyGHDL.dom.InterfaceItem: e. 
57984 * pyGHDL.dom.Literal: f. 
57985 * pyGHDL.dom.Misc: 10. 
57986 * pyGHDL.dom.Names: 11. 
57987 * pyGHDL.dom.NonStandard: 12. 
57988 * pyGHDL.dom.Object: 13. 
57989 * pyGHDL.dom.PSL: 14. 
57990 * pyGHDL.dom.Range: 15. 
57991 * pyGHDL.dom.Sequential: 16. 
57992 * pyGHDL.dom.Subprogram: 17. 
57993 * pyGHDL.dom.Symbol: 18. 
57994 * pyGHDL.dom.Type: 19. 
57995 * pyGHDL.libghdl: 1a. 
57996 * pyGHDL.libghdl._decorator: 1b. 
57997 * pyGHDL.libghdl._types: 1c. 
57998 * pyGHDL.libghdl.errorout: 1d. 
57999 * pyGHDL.libghdl.errorout_console: 1e. 
58000 * pyGHDL.libghdl.errorout_memory: 1f. 
58001 * pyGHDL.libghdl.files_map: 20. 
58002 * pyGHDL.libghdl.files_map_editor: 21. 
58003 * pyGHDL.libghdl.flags: 22. 
58004 * pyGHDL.libghdl.libraries: 23. 
58005 * pyGHDL.libghdl.name_table: 24. 
58006 * pyGHDL.libghdl.std_names: 25. 
58007 * pyGHDL.libghdl.str_table: 26. 
58008 * pyGHDL.libghdl.utils: 27. 
58009 * pyGHDL.libghdl.vhdl: 28. 
58010 * pyGHDL.libghdl.vhdl.canon: 29. 
58011 * pyGHDL.libghdl.vhdl.elocations: 2a. 
58012 * pyGHDL.libghdl.vhdl.flists: 2b. 
58013 * pyGHDL.libghdl.vhdl.formatters: 2c. 
58014 * pyGHDL.libghdl.vhdl.ieee: 2d. 
58015 * pyGHDL.libghdl.vhdl.lists: 2e. 
58016 * pyGHDL.libghdl.vhdl.nodes: 2f. 
58017 * pyGHDL.libghdl.vhdl.nodes_meta: 30. 
58018 * pyGHDL.libghdl.vhdl.nodes_utils: 31. 
58019 * pyGHDL.libghdl.vhdl.parse: 32. 
58020 * pyGHDL.libghdl.vhdl.sem: 33. 
58021 * pyGHDL.libghdl.vhdl.sem_lib: 34. 
58022 * pyGHDL.libghdl.vhdl.std_package: 35. 
58023 * pyGHDL.libghdl.vhdl.tokens: 36. 
58024 * pyGHDL.libghdl.vhdl.utils: 37. 
58025 * pyGHDL.lsp: 38. 
58026 * pyGHDL.lsp.document: 39. 
58027 * pyGHDL.lsp.lsp: 3a. 
58028 * pyGHDL.lsp.lsptools: 3b. 
58029 * pyGHDL.lsp.references: 3c. 
58030 * pyGHDL.lsp.symbols: 3d. 
58031 * pyGHDL.lsp.version: 3e. 
58032 * pyGHDL.lsp.vhdl_ls: 3f. 
58033 * pyGHDL.lsp.workspace: 40. 
58034 @end menu
58037 @node Index,,Python Module Index,Top
58038 @unnumbered Index
58041 @printindex ge
58044 @c %**end of body
58045 @bye