2 use ieee.std_logic_1164.all;
5 port (a, b, c, d : std_logic_vector (1 downto 0);
6 sel : std_logic_vector(1 downto 0);
7 o : out std_logic_vector (3 downto 0));
10 architecture behav of asgn09 is
13 o (1 downto 0) <= a when "00",
17 o(3 downto 2) <= a or b;