5 use ieee.std_logic_1164.all;
7 architecture behav of tb_asgn01 is
8 signal a : std_logic_vector (2 downto 0);
10 signal r : std_logic_vector (2 downto 0);
12 dut: entity work.asgn01
13 port map (a => a, s0 => s0, r => r);
19 assert r = "000" severity failure;
24 assert r = "101" severity failure;
29 assert r = "110" severity failure;