5 use ieee.std_logic_1164.all;
7 architecture behav of tb_asgn05 is
10 signal r : std_logic_vector (5 downto 0);
12 dut: entity work.asgn05
13 port map (s0 => s0, s1 => s1, r => r);
20 assert r = "000000" severity failure;
25 assert r = "010110" severity failure;