5 use ieee.std_logic_1164.all;
7 architecture behav of tb_asgn06 is
9 signal clk : std_logic;
10 signal r : std_logic_vector (65 downto 0);
12 dut: entity work.asgn06
13 port map (clk => clk, s0 => s0, r => r);
26 assert r (0) = '1' severity failure;
27 assert r (64 downto 1) = x"ffff_eeee_dddd_cccc" severity failure;
28 assert r (65) = '1' severity failure;
32 assert r (0) = '0' severity failure;
33 assert r (64 downto 1) = x"ffff_eeee_dddd_cc9c" severity failure;
34 assert r (65) = '0' severity failure;