2 use ieee.std_logic_1164.all;
3 use ieee.numeric_std.all;
9 cnt : out std_logic_vector (7 downto 0)
11 attribute keep : boolean;
12 attribute keep of rst : signal is True;
15 architecture behav of attr02 is
16 signal counter : std_logic_vector (7 downto 0);
21 if rising_edge (clk) then
23 counter <= (others => '0');
25 counter <= std_logic_vector (unsigned (counter) + 1);