2 use ieee.std_logic_1164.all;
3 use ieee.numeric_std.all;
5 entity blackbox1_adder is
6 port (a, b : in std_logic_vector(7 downto 0);
7 r : out std_logic_vector(7 downto 0));
10 architecture behav of blackbox1_adder is
12 r <= std_logic_vector(unsigned(a) + unsigned(b));