2 use ieee.std_logic_1164.all;
7 rst_n_i : in std_logic;
8 vec_i : in std_logic_vector(0 to 0)
12 architecture rtl of repro2 is
13 signal s_sel : natural range vec_i'range;
14 signal s_true : std_logic;
20 if rising_edge(clk_i) then
21 for i in vec_i'range loop