5 use ieee.std_logic_1164.all;
7 architecture behav of tb_cnt02 is
8 signal clk : std_logic;
9 signal rst : std_logic;
10 signal low : std_logic;
12 dut: entity work.cnt02
13 port map (clk => clk, rst => rst, low => low);
25 assert low = '0' severity failure;
29 assert low = '0' severity failure;
32 assert low = '0' severity failure;
35 assert low = '0' severity failure;
38 assert low = '1' severity failure;
41 assert low = '1' severity failure;