verilog: add sv_maps iterators
[ghdl-vlg.git] / testsuite / synth / concat02 / tb_concat02.v
bloba578829a3904a3b037d2c4adf138cb417a55180f
1 module tb_concat02;
2 wire [7:0] dout;
4 concat02 // #(.h_i(6'b1111_00), .l_i(2'b00))
5 dut (.o (dout));
7 initial begin
8 #1;
9 if (dout !== 8'hac)
10 $fatal(1, "FAILURE-1");
11 $display("PASS");
12 $finish;
13 end
14 endmodule