2 use ieee.std_logic_1164.all;
5 port (q : out std_logic_vector(7 downto 0);
6 d : std_logic_vector(7 downto 0);
12 architecture behav of dff08d is
13 constant c : std_logic_vector(7 downto 0) := x"aa";
14 signal p : std_logic_vector(7 downto 0) := c;
20 elsif rising_edge (clk) then