5 use ieee.std_logic_1164.all;
7 architecture behav of tb_dff08d is
8 signal clk : std_logic;
9 signal rst : std_logic;
10 signal en : std_logic;
11 signal din : std_logic_vector (7 downto 0);
12 signal dout : std_logic_vector (7 downto 0);
14 dut: entity work.dff08d
32 assert dout = x"aa" severity failure;
36 assert dout = x"aa" severity failure;
41 assert dout = x"38" severity failure;
45 assert dout = x"af" severity failure;
51 assert dout = x"aa" severity failure;