5 use ieee.std_logic_1164.all;
7 architecture behav of tb_dff05 is
8 signal clk : std_logic;
9 signal en1 : std_logic;
10 signal en2 : std_logic;
11 signal din : std_logic;
12 signal dout : std_logic;
14 dut: entity work.dff05
35 assert dout = '0' severity failure;
39 assert dout = '1' severity failure;
44 assert dout = '1' severity failure;
49 assert dout = '0' severity failure;
54 assert dout = '0' severity failure;
59 assert dout = '1' severity failure;