verilog: add sv_maps iterators
[ghdl-vlg.git] / testsuite / synth / dff04 / tb_dff04.v
blob40379c505ffaa18ee0495790320df3dda0d5a442
1 module tb_dff04;
3 reg clk;
4 reg [7:0] din;
5 reg [7:0] dout;
7 dff04 dut (clk, din, dout);
9 initial begin
10 clk <= 0;
11 # 1;
12 din <= 8'ha7;
13 clk <= 1;
14 # 1;
15 if (dout != 8'ha7)
16 $fatal(1, "failure");
17 clk <= 0;
18 #1;
19 din <= 8'hb8;
20 clk <= 1;
21 # 1;
22 if (dout != 8'hb8)
23 $fatal(1, "failure");
24 $finish;
25 end
26 endmodule