5 use ieee.std_logic_1164.all;
7 architecture behav of tb_forgen03 is
8 signal a : std_logic_vector (7 downto 0);
9 signal b : std_logic_vector (7 downto 0);
10 signal o : std_logic_vector (7 downto 0);
12 dut: entity work.forgen03
20 assert o = x"58" severity failure;
25 assert o = x"08" severity failure;