repo.or.cz
/
ghdl-vlg.git
/
blob
commit
grep
author
committer
pickaxe
?
search:
re
summary
|
log
|
graphiclog1
|
graphiclog2
|
commit
|
commitdiff
|
tree
|
refs
|
edit
|
fork
blame
|
history
|
raw
|
HEAD
verilog: add sv_maps iterators
[ghdl-vlg.git]
/
testsuite
/
synth
/
iassoc01
/
tb_iassoc03.vhdl
blob
8bcaf360fce6e58c78212815aca0ceff719dbf27
1
entity tb_iassoc03 is
2
end tb_iassoc03;
3
4
library ieee;
5
use ieee.std_logic_1164.all;
6
7
architecture behav of tb_iassoc03 is
8
signal a : natural;
9
signal b : natural;
10
signal res : natural;
11
begin
12
dut: entity work.iassoc03
13
port map (a, b, res);
14
15
process
16
begin
17
a <= 1;
18
b <= 5;
19
wait for 1 ns;
20
assert res = 6 severity failure;
21
22
a <= 197;
23
b <= 203;
24
wait for 1 ns;
25
assert res = 400 severity failure;
26
27
wait;
28
end process;
29
end behav;