2 use ieee.std_logic_1164.all;
10 architecture rtl of record_test is
11 type t_record is record
15 function get_constants(choice : std_logic) return t_record is
16 variable v_const : t_record;
19 v_const := (int => 27.777 us / 83.333 ns);
20 elsif choice = '1' then
21 v_const := (int => 26.316 us / 83.333 ns);
24 end function get_constants;
26 constant rec_constant : t_record := get_constants('0');
27 signal int_test : integer range 0 to rec_constant.int := 0;
28 signal slv_test : std_logic_vector(rec_constant.int downto 0) := (others => '0');
30 o <= rec_constant.int;