5 use ieee.std_logic_1164.all;
7 architecture behav of tb_ent is
8 signal clk : std_logic;
9 signal counter : natural;
10 signal rst : std_logic;
29 assert counter = 0 severity failure;
32 assert counter = 1 severity failure;
34 assert counter = 2 severity failure;
36 assert counter = 3 severity failure;