2 use ieee.std_logic_1164.all;
11 architecture rtl of ent is
12 function const return natural is
17 constant MAX_COUNT : natural := const;
18 signal countdown : natural;
29 countdown <= MAX_COUNT;
30 elsif rising_edge(clk) then
33 countdown <= MAX_COUNT;