repo.or.cz
/
ghdl-vlg.git
/
blob
commit
grep
author
committer
pickaxe
?
search:
re
summary
|
log
|
graphiclog1
|
graphiclog2
|
commit
|
commitdiff
|
tree
|
refs
|
edit
|
fork
blame
|
history
|
raw
|
HEAD
verilog: add sv_maps iterators
[ghdl-vlg.git]
/
testsuite
/
synth
/
issue1043
/
ent.vhdl
blob
0d0b83ea2369d50a0ea6aaa5ce415013713f4ee3
1
library ieee;
2
use ieee.std_logic_1164.all;
3
4
entity ent is
5
generic (
6
g : natural := 8
7
);
8
port (
9
o1 : out std_logic;
10
o2 : out std_logic
11
);
12
end;
13
14
architecture a of ent is
15
constant x : real := real(g);
16
17
constant a : natural := g;
18
constant y : real := real(a);
19
begin
20
o1 <= '1' when integer(x) = 8 else '0';
21
o2 <= '1' when integer(y) = 8 else '0';
22
end;