2 use ieee.std_logic_1164.all;
5 generic (a : std_logic_vector (7 downto 0) := x"ab";
6 b : std_logic_vector (7 downto 0) := x"9e");
7 port (res : out std_logic_vector (15 downto 0));
10 architecture behav of concat01 is
11 constant c : std_logic_vector := a & b;