2 use ieee.std_logic_1164.all,
8 i : in std_logic_vector(7 downto 0);
10 amount : in integer range 0 to 7;
12 const_half : out signed(7 downto 0);
13 async_ror : out std_logic_vector(7 downto 0);
14 clocked_left : out unsigned(7 downto 0)
18 architecture a of ent is
19 constant ONE : unsigned(3 downto 0) := x"4" srl 2;
21 const_half <= signed(i) sra to_integer(ONE);
22 async_ror <= i ror amount;
26 if rising_edge(clk) then
27 clocked_left <= unsigned(i) sll amount;