2 use ieee.std_logic_1164.all;
7 i : in std_logic_vector(7 downto 0);
8 o : out std_logic_vector(3 downto 0)
12 architecture a of ent is
13 function invert(x : std_logic_vector) return std_logic_vector is
20 if rising_edge(clk) then
21 o <= invert(i)(3 downto 0);