2 use ieee.std_logic_1164.all;
6 inp : in std_logic_vector(7 downto 0);
7 output_ok : out std_logic_vector(7 downto 0);
8 output_error : out std_logic_vector(7 downto 0)
12 architecture foo of foo is
14 signal null_vector : std_logic_vector(-1 downto 0) := (others => '0');
19 null_vector <= inp(null_vector'range);
20 output_ok <= null_vector & (7 downto 0 => '0');
22 output_error <= inp(-1 downto 0) & (7 downto 0 => '0');