2 use ieee.std_logic_1164.all;
7 architecture a of tb_ent is
13 addr : in std_logic_vector(1 downto 0);
14 data_write : in std_logic_vector(3 downto 0);
16 x0 : out std_logic_vector(3 downto 0);
17 x1 : out std_logic_vector(3 downto 0);
18 x2 : out std_logic_vector(3 downto 0);
19 x3 : out std_logic_vector(3 downto 0)
23 signal clk, write : std_logic;
24 signal addr : std_logic_vector(1 downto 0);
25 signal data_write : std_logic_vector(3 downto 0);
26 signal x0, x1, x2, x3 : std_logic_vector(3 downto 0);
34 data_write => data_write,