2 use IEEE.std_logic_1164.all;
3 use IEEE.std_logic_unsigned.all;
7 a : in std_logic_vector(7 downto 0);
8 Count : out std_logic_vector(2 downto 0)
12 architecture behavior of for_loop is
15 variable Count_Aux : std_logic_vector(2 downto 0);
20 Count_Aux := Count_Aux + 1;