2 use IEEE.STD_LOGIC_1164.ALL;
7 data_width : integer := 4
11 di : in std_logic_vector(data_width - 1 downto 0);
12 do : out std_logic_vector(data_width - 1 downto 0)
16 architecture behavioral of fileissue is
20 variable txtline : line;
21 variable file_status : file_open_status;
23 file_open(file_status, results, "explicit.dat", write_mode);
24 write(txtline, string'("--------------------"));
25 writeline(results, txtline);