2 use ieee.std_logic_1164.all;
7 architecture test of MWE is
8 constant P : integer := 1;
9 signal my_sig : std_logic_vector(P downto 0);
11 block2: if P = 2 generate
15 block1: if P = 1 generate
19 -- even this block alone breaks during analysis
20 blockf: if false generate