2 use ieee.std_logic_1164.all;
6 constant DIN_WIDTH : positive := 8;
7 constant F_SIZE : positive := 2
11 architecture Behav of repro2 is
12 type SLIDING_WINDOW is array (0 to F_SIZE-1, 0 to F_SIZE-1)
13 of STD_LOGIC_VECTOR(DIN_WIDTH- 1 downto 0);
14 signal WINDOW: SLIDING_WINDOW;
16 WINDOW <=(WINDOW 'range=> (WINDOW 'range=> (WINDOW 'range=>'0')));