2 use ieee.std_logic_1164.all;
6 constant DIN_WIDTH : positive := 8;
7 constant FIFO_DEPTH : positive := 12
11 architecture Behav of repro3 is
12 type FIFO_Memory is array (0 to FIFO_DEPTH - 1) of STD_LOGIC_VECTOR(DIN_WIDTH - 1 downto 0);
14 signal FIFO_ROW_1 : FIFO_Memory;
16 FIFO_ROW_1<= (FIFO_ROW_1 'range=> (FIFO_ROW_1 'range=>'0'));