2 use ieee.std_logic_1164.all;
3 use ieee.std_logic_arith.all;
6 port(C, CLR : in std_logic;
7 Q : out std_logic_vector(3 downto 0));
10 architecture archi of repro1 is
11 signal tmp: std_logic_vector(3 downto 0);
17 elsif (C'event and C='1') then
18 tmp <= std_logic_vector'(1 + signed(tmp));