2 use ieee.std_logic_1164.all;
3 use ieee.numeric_std.all;
9 i : in std_ulogic_vector(1 downto 0);
10 o : out std_ulogic_vector (3 downto 0)
14 architecture behav of repro3 is
15 function func (v : std_ulogic_vector (1 downto 0)) return std_ulogic_vector is
27 end architecture behav;