2 use ieee.std_logic_1164.all;
3 use ieee.numeric_std.all;
10 architecture behave of tb_ent is
11 signal insn: std_ulogic_vector(31 downto 0);
12 signal ispr1: std_ulogic_vector(5 downto 0);
13 signal ispr2: std_ulogic_vector(5 downto 0);
26 report " ispr1=" & to_hstring(ispr1);
27 report " ispr2=" & to_hstring(ispr2);
28 assert ispr1 = 6x"21" severity failure;
29 assert ispr2 = 6x"2c" severity failure;