2 use ieee.std_logic_1164.all;
5 port (a : std_logic_vector (3 downto 0);
6 o : out std_logic_vector (3 downto 0));
9 architecture behav of repro is
10 function cancel (a : std_logic_vector) return std_logic_vector
12 variable en : boolean := false;
13 variable res : std_logic_vector (a'range);