2 use ieee.std_logic_1164.all;
3 use ieee.numeric_std.all;
7 rclk_i : in std_ulogic;
8 wclk_i : in std_ulogic;
9 rden_i : in std_ulogic;
10 wren_i : in std_ulogic;
11 addr_i : in std_ulogic_vector(7 downto 0);
12 data_i : in std_ulogic_vector(15 downto 0);
13 data_o : out std_ulogic_vector(15 downto 0)
17 architecture notok of simple2_dc is
18 type ram_t is array(0 to 2**8-1) of std_ulogic_vector(15 downto 0);
20 process(wclk_i, rclk_i)
21 variable memory : ram_t;
23 if rising_edge(wclk_i) then
25 memory(to_integer(unsigned(addr_i))) := data_i;
28 if rising_edge(rclk_i) then
30 data_o <= memory(to_integer(unsigned(addr_i)));