5 use ieee.std_logic_1164.all;
7 architecture behav of tb_ent6 is
8 signal waddr2, raddr2 : natural range 0 to 255;
9 signal wdat : std_logic_vector (7 downto 0);
10 signal rdat : std_logic;
11 signal wen : std_logic;
12 signal clk : std_logic;
15 generic map (WIDTH => 8)
16 port map (clk => clk, write_enable => wen,
17 write_address => waddr2, input => wdat,
18 read_address => raddr2, output => rdat);
41 assert rdat = '0' severity failure;
45 assert rdat = '1' severity failure;