2 use ieee.std_logic_1164.all;
12 use ieee.std_logic_1164.all;
13 use ieee.numeric_std.all;
15 architecture rtl of afed is
16 signal fe_h : std_logic;
17 signal fe_l : std_logic;
18 signal n4_o : std_logic;
19 signal n9_o : std_logic;
20 signal n10_o : std_logic;
24 n4_o <= fe_h when sig = '0' else '1';
25 fe_h <= n4_o when ack = '0' else '0';
27 n9_o <= (not sig) and fe_h; -- 0
28 n10_o <= fe_l when n9_o = '0' else '1';
29 fe_l <= n10_o when ack = '0' else '0';