2 use ieee.std_logic_1164.all;
3 use ieee.numeric_std.all;
7 -- DUT_IN_DATA_WIDTH : natural
15 architecture reproducer_arch of reproducer is
16 attribute anyconst : boolean;
17 attribute anyseq : boolean;
19 signal w_dut_in_valid : std_logic;
21 attribute anyseq of w_dut_in_valid : signal is true;
24 default clock is rising_edge(clk);
25 a_incr_not_eop: assert always {w_dut_in_valid} |=> {w_dut_in_valid} sync_abort (reset = '1');