2 use ieee.std_logic_1164.all;
7 architecture behav of tb_my_fifo is
8 signal clk : std_ulogic;
9 signal rst : std_ulogic;
10 signal data_in : std_logic_vector(7 downto 0);
11 signal valid_in : std_ulogic;
12 signal ready_out : std_ulogic;
13 signal data_out : std_logic_vector(7 downto 0);
14 signal valid_out : std_ulogic;
15 signal ready_in : std_ulogic;
17 inst_my_FIFO: entity work.my_FIFO
23 ready_out => ready_out,
25 valid_out => valid_out,
26 ready_in => ready_in);
45 assert valid_out = '0' severity failure;
46 assert ready_out = '1' severity failure;
54 -- Need a second cycle to see the data on the outputs.
56 assert valid_out = '1' severity failure;
57 assert data_out = x"d5" severity failure;
61 assert valid_out = '0' severity failure;