2 use ieee.std_logic_1164.all;
7 architecture behav of tb_and3 is
8 signal i0, i1, i2 : std_logic;
12 dut : entity work.and3
13 port map (i0 => i0, i1 => i1, i2 => i2, o => o);
16 constant v0 : std_logic_vector := b"1011";
17 constant v1 : std_logic_vector := b"1111";
18 constant v2 : std_logic_vector := b"1101";
19 constant ov : std_logic_vector := b"1001";
21 for i in ov'range loop
26 assert o = ov(i) severity failure;