2 use ieee.std_logic_1164.all;
6 a, b : in std_logic_vector(1 downto 0);
11 architecture structure of comparator2 is
12 signal s0, s1: std_logic;
14 -- use Verilog component i.e. comparator1BitVerilog
15 eq_bit0: entity work.comparator1
16 port map (a=>a(0), b=>b(0), eq=>s0);
17 eq_bit1: entity work.comparator1
18 port map (a=>a(1), b=>b(1), eq=>s1);