2 use ieee.std_logic_1164.all;
6 a : in std_logic_vector(3 downto 0);
7 res : out std_logic_vector(3 downto 0)
11 architecture structure of param1t is
12 signal s0 : std_logic_vector(3 downto 0);
14 -- define Verilog component
20 x : in std_logic_vector(3 downto 0);
21 r : out std_logic_vector(3 downto 0)
28 port map (x => a, r => s0);