verilog: add sv_maps iterators
[ghdl-vlg.git] / testsuite / synth / mixed1 / tb_comparator.v
blobc6de62a7a9bc211816ba11ceb6c153148cc8d9a3
1 module tb_comparator;
2 reg a;
3 reg b;
4 reg res;
6 comparator1 dut (.a(a), .b(b), .eq(res));
8 initial begin
9 a <= 0;
10 b <= 0;
11 # 1;
12 if (res != 1)
13 $fatal(1, "bad result");
14 $finish;
15 end
16 endmodule // tb_comparator