verilog: add sv_maps iterators
[ghdl-vlg.git] / testsuite / synth / module02 / module03.v
blob0265a58a400f00fa42bae850957314b751a44676
1 module module03b (clk, rst, val);
2 input clk, rst;
3 output [7:0] val;
4 reg [7:0] val;
5 parameter freq = 1.0;
7 always @(posedge clk)
8 if (rst)
9 val <= 0;
10 else
11 val <= val + 1;
12 endmodule
14 module module03 (input clk,
15 input rst,
16 output two);
17 wire [7:0] v;
18 module03b #(.freq(5.0)) dut (.val(v), .rst(rst), .clk(clk));
20 assign two = v == 2;
21 endmodule