5 use ieee.std_logic_1164.all;
7 architecture behav of tb_cmp01 is
8 signal l : std_logic_vector(3 downto 0);
9 signal r : std_logic_vector(3 downto 0);
10 signal eq : std_logic;
11 signal ne : std_logic;
12 signal lt : std_logic;
13 signal le : std_logic;
14 signal ge : std_logic;
15 signal gt : std_logic;
17 cmp01_1: entity work.cmp01
33 assert eq = '0' severity failure;
34 assert ne = '1' severity failure;
35 assert lt = '1' severity failure;
36 assert le = '1' severity failure;
37 assert ge = '0' severity failure;
38 assert gt = '0' severity failure;
43 assert eq = '0' severity failure;
44 assert ne = '1' severity failure;
45 assert lt = '0' severity failure;
46 assert le = '0' severity failure;
47 assert ge = '1' severity failure;
48 assert gt = '1' severity failure;
53 assert eq = '1' severity failure;
54 assert ne = '0' severity failure;
55 assert lt = '0' severity failure;
56 assert le = '1' severity failure;
57 assert ge = '1' severity failure;
58 assert gt = '0' severity failure;