2 use ieee.std_logic_1164.all;
7 architecture behav of tb_output06 is
9 signal o : std_logic_vector (3 downto 0);
11 inst: entity work.output06
12 port map (i => i, o => o);
18 assert o = "0010" severity failure;
22 assert o = "1001" severity failure;