2 use ieee.std_logic_1164.all;
7 architecture behav of tb_output07 is
9 signal clk : std_logic;
10 signal o : std_logic_vector (1 downto 0);
12 inst: entity work.output07
13 port map (clk => clk, i => i, o => o);
26 assert o = "10" severity failure;
30 assert o = "01" severity failure;
34 assert o = "10" severity failure;