verilog: add sv_maps iterators
[ghdl-vlg.git] / testsuite / synth / psl01 / assert1.vhdl
blob717b4d0f959b96512eafe935eae35c82fbcfd266
1 library ieee;
2 use ieee.std_logic_1164.all;
3 use ieee.numeric_std.all;
5 entity assert1 is
6  port (clk, rst: std_logic;
7        cnt : out unsigned(3 downto 0));
8 end assert1;
10 architecture behav of assert1 is
11  signal val : unsigned (3 downto 0);
12 begin
13  process(clk)
14  begin
15    if rising_edge(clk) then
16      if rst = '1' then
17        val <= (others => '0');
18      else
19        val <= val + 1;
20      end if;
21    end if;
22  end process;
23  cnt <= val;
25  --psl default clock is rising_edge(clk);
26  --psl assert always val /= 5 abort rst;
27 end behav;